JP2010016390A - グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス - Google Patents

グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス Download PDF

Info

Publication number
JP2010016390A
JP2010016390A JP2009190647A JP2009190647A JP2010016390A JP 2010016390 A JP2010016390 A JP 2010016390A JP 2009190647 A JP2009190647 A JP 2009190647A JP 2009190647 A JP2009190647 A JP 2009190647A JP 2010016390 A JP2010016390 A JP 2010016390A
Authority
JP
Japan
Prior art keywords
layer
relaxed
substrate
heterostructure
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009190647A
Other languages
English (en)
Inventor
Eugene A Fitzgerald
オイゲン エイ フィッツジェラルド
Dimitri A Antoniadis
ディミトリ エイ アントニアディス
Judy L Hoyt
ジュディ エル ホイト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Massachusetts Institute of Technology
Original Assignee
Massachusetts Institute of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute of Technology filed Critical Massachusetts Institute of Technology
Publication of JP2010016390A publication Critical patent/JP2010016390A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

【課題】緩和III−V族またはII−VI族マテリアルオンインシュレータの製造方法の提供。
【解決手段】緩和Si1−yGey層104を有する半導体構造の形成プロセスは、第1の基板上へのグレーデッドSi1−xGexバッファ層102の堆積であって、前記Ge濃度xはゼロから値yまで増加するものである堆積、緩和Si1−yGey層104の堆積、前記緩和Si1−yGey層104中にイオンを導入して第1のヘテロ構造を規定、前記第1のヘテロ構造を第2の基板108に接合して第2のヘテロ構造を規定、および前記導入されたイオンの領域での前記第2のヘテロ構造の分割であって、前記緩和Si1−yGey層104の表層部分は前記第2の基板上108に残るものである分割を含む。
【選択図】図1B

Description

(優先権情報)
本出願は、2000年8月16日に提出された仮出願第60/225,666号からの優先権を主張する。
本発明は、種々のエレクトロニクスまたはオプトエレクトロニクス用途向けの緩和Si1−xGeオンインシュレータ(SGOI)汎用基板の製造、および単結晶のIII−V族またはII−VI族マテリアルオンインシュレータ基板の製造に関する。
緩和Si1−xGeオンインシュレータ(SGOI)は、従来のSOI技術とディスラプティブSiGe技術との、二つの先進的な技術のもつ効果を組み合わせたものであるためきわめて有望な技術である。SOI構成によって絶縁性基板に伴う種々の利点がもたらされる、すなわち寄生容量の低減、アイソレーションの促進、およびショートチャネル効果の低減等である。高移動度の歪みSiMOSデバイス、歪みSi1−xGeMOSデバイス、または歪みGeMOSデバイスをSGOI基板上に作製することができる。
また、その他のIII−V族オプトエレクトロニクスデバイスについても、そのIII−V族材料と緩和Si1−xGeとの格子定数を一致させることによってSGOI基板に集積することができる。例えば、xが1もしくは1に近い、Si1−xGeオンインシュレータ上にGaAs層を成長させることができる。SGOIは、高速かつ低消費電力のエレクトロニクスまたはオプトエレクトロニクス用途向けの究極のプラットフォームとして機能し得る。
先行技術においてSGOIは数種の方法により作製されている。ある方法では、酸素注入によるセパレーション(SIMOX)技術を用いてSGOIが作製される。大量の酸素注入によって高濃度の酸素がSi1−xGe層に埋め込まれ、次いで高温(例えば、1350℃)アニールによってこの層が埋め込み酸化膜(BOX)層に変換されていた。例えば、非特許文献1および非特許文献2を参照されたい。一つの大きな問題点として、生じたSi1−xGe膜およびBOXの品質の問題がある。さらに、高温アニール時のGeの偏析によって最大のGe組成が低い値に制限されてしまうことがある。
特許文献1および特許文献2に、従来のSiオンインシュレータ(SOI)基板を可とう性基板として用いた、第2の方法が記載されている。このプロセスでは、最初に歪みSi1−xGe層が薄いSOI基板上に堆積される。アニール処理によって、歪みは下層のシリコン薄膜に移り、表層のSi1−xGe膜を緩和させる。最終構造は緩和SiGe/歪みSi/インシュレータとなり、理想的なSGOI構造ではない。構造中のシリコン層は不要であり、該シリコン層上に形成されたデバイスの性能を劣化させる可能性がある。例えば、この歪みSi上に寄生バックチャネルが形成される、あるいは歪みSiとSiGe層との間のバンドギャップのオフセットのために望ましくない電子の閉じ込めが生じる可能性がある。
特許文献3および特許文献4に、同様のSGOI構造すなわち歪み層/緩和SiGe/Si/インシュレータ構造の形成についての記載がある。この構造は、ウェハ接合およびP++層をエッチング停止層に用いたエッチバックプロセスによって作製されている。上記構造におけるシリコン層の存在はSiインシュレータウェハの接合を容易にするためのものであるが、理想的なSGOI基板のためには不要なものである。この場合も、このシリコン層はその上に形成されたデバイスの性能を劣化させる可能性がある。例えば、この歪みSi上に寄生バックチャネルが形成される、あるいは歪みSiとSiGe層との間のバンドギャップのオフセットのために望ましくない電子の閉じ込めが生じる可能性がある。さらに、この特許に記載された第1のグレーデッドSi1−yGe層のy値が0.2より大きい場合は、前述の構造中のP++エッチング停止層は実際には用をなさない。特許文献5に記載されているように、yが0.2より大きい場合のSi1−yGeは、KOHおよびTMAHの両方に対してきわめて良好なエッチング停止層となることが研究実験結果から明らかにされている。したがって、KOHではこの特許に記載されている第1のグレーデッドSi1−yGe層および第2の緩和SiGe層を除去することができない。
その他の試みとして、SOI(シリコンオンインシュレータ)基板上に堆積された非晶質Si1−xGe層の再結晶がある。この場合も理想的なSGOI基板とはならず、シリコン層は不要であってその上に形成されたデバイスの性能を劣化させる可能性がある。非特許文献3を参照されたい。生じたSiGe膜の緩和および生じた構造の品質が主眼となっている。
米国特許第5,461,243号明細書 米国特許第5,759,898号明細書 米国特許第5,906,951号明細書 米国特許第6,059,895号明細書 国際公開第第WO99/53539号パンフレット
2000年発行のMizunoらによるIEEE Electron Device Letters、Vol.21、No.5、230〜232頁 1999年発行のIshilawaらによるApplied Physics Letters、Vol.75、No.7、983〜985頁 2000年発行のYeoらによるIEEE Electron Device Letters、Vol.21、No.4、161〜163頁
以上から、簡単な緩和SGOI基板の作製方法、高品質のSGOIおよびその他のIII−V族マテリアルオンインシュレータの作製方法、および広範囲の材料を転写する方法が必要である。
本発明により、広範囲の高品質材料を作製するための改良された方法が提供される。特に、緩和Si1−xGeオンインシュレータ(SGOI)基板またはGaAsオンインシュレータなどの緩和III−V族またはII−VI族マテリアルオンインシュレータについて述べる。高品質な単結晶の、緩和SiGe層、緩和Ge層、または他の緩和III−V族材料層を、グレーデッドSi1−xGeエピタキシャル成長法を用いてシリコン基板上に成長させる。上記層の薄膜が、ウェハ接合および水素イオン注入を用いたウェハ分割によって酸化膜付ハンドルウェハに転写される。本発明ではグレーデッドSi1−xGeバッファ構造が使用されており、それによってプロセスの簡素化および改良がなされている。
また本発明によって、広範囲のデバイス材料を安価なシリコン基板に集積することを可能にする方法が提供される。例えば、この方法によって広範囲のGe濃度を有するSi1−xGeオンインシュレータの作製が可能になると共に、GaAs,AlAs,ZnSe、およびInGaPなどの多くのIII−V族またはII−VI族材料のマテリアルオンインシュレータの作製が可能になる。本発明のグレーデッドSi1−xGeバッファの使用により、転位欠陥がほとんどない、高品質な材料の作製および転写が可能になる。一例においては、グレーデッドバッファ中の所定領域が自然エッチング停止層としての働きをする、SiGe構造を用いてSGOIが作製される。
本発明は、単結晶半導体層を作製するプロセスおよび方法を提供するものである。典型的な一実施形態では、グレーデッドSi1−xGe(xは0からyまで増加する)を第1のシリコン基板上に堆積し、次いで緩和Si1−yGe層、薄い歪みSi1−zGe層、および別の緩和Si1−yGe層を堆積する。この後、水素イオンを歪みSi1−zGe層中に導入する。緩和Si1−yGe層を第2の酸化膜付基板に接合する。アニール処理によって接合対を歪みSi層の位置で分割し、それによって第2の緩和Si1−yGe層を前記第2の基板上に残す。
別の典型的実施形態では、Ge濃度xが0から1まで増加する、グレーデッドSi1−xGeが第1のシリコン基板上に堆積される。この後、緩和GaAs層を緩和Geバッファ上に堆積する。GaAsの格子定数はGeに近いので、GaAsは、転位欠陥がほとんどない、高い品質を備えている。水素イオンは緩和GaAs層中に選択された深さで導入される。緩和GaAs層は第2の酸化膜付基板に接合される。アニール処理によって接合対を水素イオンリッチ層の位置で分割し、それによって緩和GaAs層の上部を前記第2の基板上に残す。
本発明によるSGOI基板の作製プロセスを示すブロック図である。 本発明によるSGOI基板の作製プロセスを示すブロック図である。 本発明によるSGOI基板の作製プロセスを示すブロック図である。 接合後の状態のウェハ対の赤外線透過像(2A)及び分割後の最終のSGOI基板の赤外線透過像(2B)である。 埋め込み酸化膜の表面に転写されたSiGe層のTEM断面図である。 表面粗さを表す、転写されたSGOI基板のAFM像である。 本発明による種々の典型的実施形態の半導体構造のブロック図である。 本発明による種々の典型的実施形態の半導体構造のブロック図である。 本発明による種々の典型的実施形態の半導体構造のブロック図である。 本発明による種々の典型的実施形態の半導体構造のブロック図である。
層転写によってSGOIを作成するプロセスの一例を述べる。実験は2段階で行った。第1段階では、ヘテロエピタキシャルSiGe層がグレーデッドエピタキシャル成長法により形成される。4インチのSi(100)ドナーウェハ100を出発基板として、リニアなステップ式の組成をもつグレーデッドSi1−xGeバッファ102が、CVDを用いてGe濃度をゼロから25%まで増加させることにより堆積される。次いで、図1Aに示すように、最終のGe組成を有する、2.5μmの緩和Si0.75Ge0.25キャップ層104が堆積される。
緩和SiGeキャップ層は、グレーデッドバッファがSiと緩和SiGe間の格子不整合を吸収するため、転位欠陥密度がきわめて低い(1×10 /cm)、高い品質を備えている。この高品質のSiGeの薄層が最終のSGOI構造に転写される。成長後の状態の緩和SiGe層の表面は、グレーデッド層の界面での不整転位によって生成される下地の歪み場のために、約11nm乃至15nmの大きな面粗度を示す。このため、化学的機械的研磨(CMP)により表面が平滑化される。第2段階では、ドナーウェハに水素イオンを注入して(100keV、5×1016/cm)埋め込み水素リッチ層を形成する。変性RCA溶液中での表面洗浄工程の後、図1Bに示すように、このウェハを室温で酸化膜106付Siハンドルウェハ108に接合する。
ウェハ接合は主要な工程の一つであり、後続の次工程での層転写に耐えるために接合エネルギは十分に強くなくてはならない。良好な接合を行うには、平坦な表面および高い親水性表面が接合前に必要である。一方、最終の接合構造中の埋め込み酸化膜は、その上に作製される最終のデバイスに影響を及ぼすために、良好な電気的性質を有することが要求される。従来のSi膜転写では、通常ドナーウェハ表面の熱酸化膜がH注入およびウェハ接合前に使用されて、完成後のシリコンオンインシュレータ構造中の埋め込み酸化膜となっている。
Siドナーウェハの熱酸化膜は、良好な電気的性質および平坦な表面を有し、かつハンドルウェハにきわめてよく接合することから、上記要求を全て満たしている。しかし、Siと異なり、SiGe膜の酸化は劣悪な熱酸化膜品質の原因となり、さらに酸化時のGeの偏析もSiGe膜の劣化をもたらす。したがって、SiGeの熱酸化膜はSGOIの作製にとって適当でない。典型的な一実施形態では、SiGe膜は酸化膜付Siハンドルウェハに直接接合される。ハンドルウェハ中の高品質の熱酸化膜が最終のSGOI構造中の埋め込み酸化膜となる。
CMP工程後平坦な表面が得られると、SiGeウェハは洗浄工程に供せられる。Siと比較した場合のSiGe膜の難しさの一つに、RCA1溶液中のNHOHによってSiよりもGeが速くエッチングされるため、標準的なRCA洗浄ではSiGe表面が粗面になってしまうことがある。粗面になると、ハンドルウェハとの接合時に接触領域が減少するので、結合が弱くなる。本典型的実施形態では、HSO/H溶液をRCA1の替わりに用いており、この溶液は後続の接合後の炉中アニールのための洗浄プロセスの要求を満たすものである。HSO−H洗浄後のSiGe表面はRCA1に比べて良好な表面粗さを示している。
この修正された洗浄手順の後、SiGeウェハを希釈HF溶液中に浸責して古い自然酸化膜を除去する。この後、このウェハをDIウォータ(DI water)中で十分にリンスして、高活性の、新鮮な新自然酸化膜層を形成することにより表面を親水性にする。スピン乾燥の後、SiGeウェハは室温で酸化膜付ハンドルウェハに接合され、その後600℃で3時間アニールされる。アニールの間に接合対は埋め込み水素リッチ層に沿って二枚のシートに分割され、薄い緩和Si0.75Ge0.25膜110がハンドルウェハに転写されて、図1Bに示すような、SGOI基板112が得られる。最終の850℃アニールによりSi0.75Ge0.25とSiOとの結合が強化される。この後、図1Cに示すように、デバイス層114がSGOI基板112上に加工形成される。
図2Aおよび2Bは、それぞれ結合後の状態のウェハ対および分割後の最終のSGOI基板の赤外線透過像である。転写後の状態のSGOI基板の表面を調べるために、透過電子顕微鏡(TEM)および原子間力顕微鏡(AFM)を使用した。図3のTEM断面図は、550nmの埋め込み酸化膜(BOX)の表面に転写された約640nmのSIGe層を示したものである。また、損傷深さ約100nmの表面損傷が分割表面において明確に観察される。
図4に、AFMによる、転写後の状態のSGOIの5×5μmの範囲における11.3nmの表面粗さを示す。このデータは、スマートカット(smart‐cut)プロセスによる転写後の状態のシリコン膜のデータと同様であり、約100nmの表面層を最終のCMP工程で除去する必要があることを示唆している。
SiGe膜の転写後、薄い緩和SiGe膜のみを取り除くと、このドナーウェハは再びドナーウェハとして使用することができる。この汎用SGOI基板を出発基板にして、図1Cに示すように、一つ以上のデバイス層を表面に成長させることによって種々のデバイス構造を実現することができる。このSGOI基板の表面に歪みSi層を成長させ、次いで歪みSiチャネルデバイスを作製することにより、電気的評価が向上する。
接合強度は本発明のプロセスにとって重要なものである。種々の条件下での接合前のSiGe膜の表面粗さを調べるためにAFM測定を実施した。成長後の状態の緩和SiGe層の表面は約11nm乃至15nmの大きい面粗度を有しているため、平滑な表面および良好な接合強度を得るために要するSiGe表面の研磨時間はどの程度か、を調べるための実験を行った。数枚の同一形状の緩和Si0.75Ge0.25膜付の4インチSiウェハを、異なる時間毎に最適化された研磨条件でCMP処理した。AFMを用いて、10μm×10μmの範囲で測定した表面微小粗さRMSは、2分、4分、および6分間CMP処理されたウェハに対して、それぞれ5.5Å,4.5Å、および3.8Åであった。同一形状のハンドルウェハへの接合後、評価した接合強度はRMSの減少にしたがって増加していた。良好な強度を得るためには6分のCMP時間が必要である。
別の実験では、緩和Si0.75Ge0.25膜の付いた二つの同一形状の4インチSiウェハを8分間CMP処理した。HSO:H溶液中の2回の洗浄工程および希釈HF溶液中での一回の洗浄工程の後、一方のウェハを新しいHSO:H(3:1)溶液中に、他方のウェハを新しいNHOH:H:HO(1:1:5)すなわち従来のRCA1溶液中に、共に15分間浸責させた。それによって得られたウェハをAFMを用いて評価した。HSO:H溶液処理後のウェハは1μm×1μmの範囲で2Åの表面粗さRMSを示し、NHOH:H:HO処理後のウェハは4.4Åを示した。明らかに、従来のRCA洗浄はSiGe表面を著しく粗面にし、HSO:HをSiGe洗浄用に用いるべきである。
さらに別の実験では、洗浄手順は接合前に最適化される。SiGeウェハを酸化膜付ハンドルウェハに直接接合する(SiGeと酸化膜との接合)ために、数種の異なる洗浄手順を評価した。HSO:H(2〜4:1)溶液処理後のDIウォータリンスおよびスピン乾燥によって良好な接合強度が得られることが明らかになった。あるいは、SiGeウェハ上に酸化膜層を堆積した後その酸化膜層をCMP処理してもよい。この場合、SiGe/酸化膜が酸化膜付ハンドルウェハに接合される、すなわち酸化膜と酸化膜との接合になる。種々の洗浄手順の中で、NHOH:H:HO洗浄およびDIウォータリンス後の、希釈HF処理、DIウォータリンス、およびスピン乾燥によってきわめて良好な接合強度が得られることが判明した。
図5は、本発明による半導体構造500の典型的一実施形態のブロック図である。グレーデッドSi1−xGeバッファ層504をシリコン基板502上に成長させる。この場合Ge濃度xはゼロから値yまでステップ式に増加し、yは0から1までのある選択された値を有する。この後、第2の緩和Si1−yGe層506を堆積し、この層に水素イオンを、注入エネルギを調整することによって選択された深さで注入して、埋め込み水素リッチ層508を形成する。このウェハを洗浄し、酸化膜付ハンドルウェハ510に接合する。500〜600℃のアニール処理によって、この接合対を水素リッチ層508の位置で分割する。この結果、緩和Si1−yGe層506の上部が酸化膜付ハンドルウェハ上に残り、SGOI基板が形成される。以上の記述には、y=1の場合のGeオンインシュレータの作製も含まれている。
接合前のウェハ洗浄工程において、標準的なシリコン表面用のRCA洗浄が一部修正される。標準のRCA1溶液中のNHOHによってSiよりも速くGeがエッチングされるため、SiGe表面は粗くなり接合が弱くなる。HSO/H溶液がRCA1の替わりに使用され、この溶液は後続の接合後の炉中アニールのための洗浄プロセスの要求を満たすものである。HSO/H洗浄後のSiGe表面はRCA1洗浄に比べて良好な表面粗さを示した。修正RCA洗浄の後、ウェハは別の新鮮なHSO/H溶液に10乃至20分間浸責される。HSO/H処理によってSiGe表面は親水性にされる。DIウォータでのリンスおよびスピン乾燥後、SiGeウェハは直ちに室温で酸化膜付ハンドルウェハに接合され、次いでウェハ分割のために500〜600℃でアニールされる。
図6は半導体構造600の別の典型的実施形態のブロック図である。構造600は、シリコン基板602上に成長させたグレーデッドSi1−xGeバッファ層604を含み、この場合Ge濃度xはゼロから1まで増加する。この後、緩和純Ge層606およびGaAs層などのIII−V族材料層608をGe層上にエピタキシャル成長させる。このGaAs層608中に水素イオンを、注入エネルギを調整することによって選択された深さで注入して、埋め込み水素リッチ層610を形成する。このウェハを洗浄して、酸化膜付ハンドルウェハ612に接合する。アニール処理によって、この接合対を水素リッチ層610の位置で分割する。この結果、GaAs層608の上部が酸化膜付ハンドルウェハ上に残り、GaAsオンインシュレータ基板が形成される。
図7は半導体構造700のさらに別の典型的実施形態のブロック図である。グレーデッドSi1−xGeバッファ層704をシリコン基板702上に成長させる。この場合Ge濃度xはゼロから選択値yまで増加し、yは0.2より小さい。zが0.2から0.25までの範囲の、第2の緩和Si1−zGeバッファ層706を堆積させる。グレーデッドSi1−xGeバッファ層704中に水素イオンを選択された深さで注入して、層704内に埋め込み水素リッチ層708を形成する。このウェハを洗浄して、酸化膜付ハンドルウェハ710に接合する。500〜600℃のアニール処理によって、この接合対を水素リッチ層708の位置で分割する。
この結果、グレーデッドSi1−xGeバッファ層704の上部および緩和Si1−zGeバッファ層706が酸化膜付ハンドルウェハ710上に残る。この後、残ったグレーデッドSi1−xGeバッファ層704をKOHまたはTMAHのいずれかによって選択的にエッチングする。KOHおよびTMAHは、xが0.2より小さい場合はSi1−xGeを高速でエッチングするが、xが0.2より大きい場合はエッチング速度はきわめて遅くなる。したがって、グレーデッドSi1−xGeバッファ層704を選択的にエッチングして、緩和Si1−zGe層706を絶縁性基板710上に残すことで、緩和SGOI基板を形成することができる。このプロセスにおいては、最終のSGOI構造上の緩和Si1−zGe膜706の厚さは膜成長によって規定される。このことはいくつかのアプリケーションにおいて望ましいことである。
図8は半導体構造800のさらに別の典型的実施形態のブロック図である。グレーデッドSi1−xGeバッファ層804をシリコン基板802上に成長させる。この場合Ge濃度xはゼロから、0から1までのある選択された値yまで増加する。第2の緩和Si1−yGe層806を堆積させ、続いて歪みSi1−zGe層808および別の緩和Si1−yGe層810を堆積させる。層806,808、および810の厚さ、および値zは、Si1−zGe層808が平衡歪み状態下にあり、一方Si1−yGe層806および810は緩和状態のままであるように選択される。一つのオプションとして、歪みSi1−zGe層808中に水素イオンを導入して、水素リッチ層812を形成することも可能である。このウェハを洗浄して、酸化膜付ハンドルウェハ814に接合する。この後、接合対は歪みSi1−zGe層808に沿って分離される。
層は歪みによって脆弱になるため、分離時にこの層に沿って割れが伝播する。分離は種々の方法によって実施可能であり、例えば、機械的力を用いたり、前述と同じく水素導入時の500〜600℃のアニール処理によって行うことができる。例えば、共に本願に援用して引用する、米国特許第6,033,974号および第6,184,111号を参照されたい。この結果、緩和Si1−yGe層810が酸化膜付ハンドルウェハ上に残り、緩和SGOI基板が形成される。層806,808、および810の厚さ、および値zは、Si1−zGez層808中に望ましい量の転位が存在し、一方表層のSi1−yGe層810は緩和状態のままで、高品質を有し、かつ転位欠陥がほとんどないように選択される。
この後、Si1−zGez層808中の転位欠陥は、後続のイオン導入工程において水素トラップセンターとして作用する。水素イオンは、イオン注入、イオン拡散、または電解充電(electrolytic charging)によるドリフトなどの、種々の方法により導入される。zの値は、残ったSi1−zGez層808をKOHまたはTMAHによって選択的にエッチングすることができるように選択される。層806および810は、グレーデッドSi1−xGeバッファ層804中のGe濃度xがゼロから1まで増加するという条件下で、例えば純Ge、またはある種のIII−V族材料などの、他の材料であってもよい。
全てのセミコンダクタオンインシュレータ基板が前述の方法によって得られた後、さらに種々のデバイス層をこの基板表面に成長させることができる。再成長の前に、CMPを用いて表面の研磨を行うことも可能である。
いくつかの好適な実施形態に関連して本発明を図示および説明したが、本発明の範囲内で発明の形態および細部に種々の変更、省略、および追加を行うことができる。
以下、本発明の有利な態様を示す。
1.緩和Si 1−y Ge 層を有する半導体構造の形成プロセスは、
第1の基板上へのグレーデッドSi 1−x Ge バッファ層の堆積であって、前記Ge濃度xはゼロから値yまで増加するものである堆積、
緩和Si 1−y Ge 層の堆積、
前記緩和Si 1−y Ge 層中にイオンを導入して第1のヘテロ構造を規定、
前記第1のヘテロ構造を第2の基板に接合して第2のヘテロ構造を規定、
および前記導入されたイオンの領域での前記第2のヘテロ構造の分割であって、前記緩和Si 1−y Ge 層の表層部分は前記第2の基板上に残るものである分割、を含むことを特徴とするプロセス。
2.上項1のプロセスはさらに、前記緩和Si 1−y Ge 層の前記堆積工程の後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
3.上項2のプロセスにおいて、前記少なくとも一つのデバイス層は、歪みSi、w≠yである歪みSi 1−w Ge 、歪みGe、GaAs、AlAs、ZnSe、およびInGaPの内の少なくとも一つを含むことを特徴とするプロセス。
4.上項1のプロセスはさらに、前記イオン導入工程前に絶縁層を形成することを特徴とするプロセス。
5.上項1のプロセスはさらに、前記イオン導入工程前に前記緩和Si 1−y Ge 層を平坦化することを含むことを特徴とするプロセス。
6.上項1のプロセスにおいて、前記イオンは水素のH イオンまたはH 2+ イオンを含むことを特徴とするプロセス。
7.上項1のプロセスはさらに、前記イオン導入工程後に前記緩和Si 1−y Ge 層を平坦化することを含むことを特徴とするプロセス。
8.上項1のプロセスはさらに、前記接合工程前に、前記第1のヘテロ構造と前記第2の基板とを洗浄することを含むことを特徴とするプロセス。
9.上項1のプロセスにおいて、前記第2のヘテロ構造はアニールによって分割されることを特徴とするプロセス。
10.上項1のプロセスにおいて、前記第2のヘテロ構造はアニールおよびアニール後の機械的力によって分割されることを特徴とするプロセス。
11.上項1のプロセスはさらに、前記分割工程後、前記緩和Si 1−y Ge 層の残部の表層部分を除去することを含むことを特徴とするプロセス。
12.上項1のプロセスはさらに、前記分割工程後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
13.上項12のプロセスにおいて、前記少なくとも一つのデバイス層は、緩和Si 1−y Ge 、歪みSi、歪みSi 1−w Ge 、歪みGe,GaAs,AlAs,ZnSe、およびInGaPの内の少なくとも一つを含むことを特徴とするプロセス。
14.上項1のプロセスはさらに、前記分割工程後、残りの第1のヘテロ構造を再利用することを含むことを特徴とするプロセス。
15.上項1のプロセスにおいて、前記第1の基板は単結晶シリコンを含むことを特徴とするプロセス。
16.半導体層の形成プロセスは、
第1の基板上へのグレーデッドSi 1−x Ge バッファ層の堆積であって、前記Ge濃度xはゼロから1まで増加するものである堆積、
緩和Ge層の堆積、
格子定数がGeにほぼ近い、別の材料を含む単結晶半導体層の形成、
前記半導体層中にイオンを導入して第1のヘテロ構造を規定、
前記第1のヘテロ構造を第2の基板に接合して第2のヘテロ構造を規定、
および前記導入されたイオンの領域での前記第2のヘテロ構造の分割であって、前記半導体層の表層部分は前記第2の基板上に残るものである分割、を含むことを特徴とするプロセス。
17.上項16のプロセスにおいて、前記半導体層は、GaAs,AlAs,ZnSe、およびInGaPの内の一つを含むことを特徴とするプロセス。
18.上項16のプロセスはさらに、前記半導体層の前記形成工程後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
19.上項16のプロセスはさらに、前記イオン導入工程前に絶縁層を形成することを含むことを特徴とするプロセス。
20.上項16のプロセスはさらに、前記イオン導入工程前に前記半導体層を平坦化することを含むことを特徴とするプロセス。
21.上項16のプロセスにおいて、前記イオンは水素のH イオンまたはH 2+ イオンを含むことを特徴とするプロセス。
22.上項16のプロセスはさらに、前記イオン導入工程後に前記半導体層を平坦化するステップを含むことを特徴とするプロセス。
23.上項16のプロセスはさらに、前記接合工程前に、前記第1のヘテロ構造と前記第2の基板とを洗浄することを含むことを特徴とするプロセス。
24.上項16のプロセスにおいて、前記第2のヘテロ構造はアニールによって分割されることを特徴とするプロセス。
25.上項16のプロセスにおいて、前記第2のヘテロ構造はアニールおよびアニール後の機械的力によって分割されることを特徴とするプロセス。
26.上項16のプロセスはさらに、前記分割工程後、前記第3の半導体層の残部の表層部分を除去することを含むことを特徴とするプロセス。
27.上項16のプロセスはさらに、前記分割工程後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
28.上項16のプロセスはさらに、前記分割工程後、残りの第1のヘテロ構造を再利用することを含むことを特徴とするプロセス。
29.上項16のプロセスにおいて、前記第1の基板は単結晶シリコンを含むことを特徴とするプロセス。
30.緩和Si 1−z Ge 層を有する半導体構造の形成プロセスは、
第1の基板上へのグレーデッドSi 1−x Ge バッファ層の堆積であって、前記Ge濃度xはゼロからある選択値yまで増加し、yは0.2より小さいものである堆積、
緩和Si 1−z Ge 層の堆積であって、zは0.2から0.25までの範囲にある堆積、
前記緩和Si 1−y Ge バッファ層中にイオンを導入して第1のヘテロ構造を規定、
前記第1のヘテロ構造を第2の基板に接合して第2のヘテロ構造を規定、
前記導入されたイオンの領域での前記第2のヘテロ構造の分割であって、第1のグレーデッドSi 1−x Ge 層の上部および前記緩和Si 1−z Ge 層は前記第2の基板上に残るものである分割、
および前記グレーデッドSi 1−x Ge 層の残部の選択的エッチングであって、前記緩和Si 1−z Ge 層は前記第2の基板上に残るものである選択的エッチング、を含むことを特徴とするプロセス。
31.上項30のプロセスはさらに、前記緩和Si 1−z Ge 層の前記形成工程の後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
32.上項31のプロセスにおいて、前記少なくとも一つのデバイス層は、一つ以上の、歪みSi、w≠zである歪みSi 1−w Ge 、および歪みGeを含むことを特徴とするプロセス。
33.上項30のプロセスはさらに、前記イオン導入工程前に絶縁層を形成することを特徴とするプロセス。
34.上項30のプロセスはさらに、前記イオン導入工程前に前記緩和Si 1−z Ge 層を平坦化することを含むことを特徴とするプロセス。
35.上項30のプロセスにおいて、前記イオンは水素のH イオンまたはH 2+ イオンを含むことを特徴とするプロセス。
36.上項30のプロセスはさらに、前記イオン導入工程後に前記緩和Si 1−z Ge 層を平坦化することを含むことを特徴とするプロセス。
37.上項30のプロセスはさらに、前記接合工程前に、前記第1のヘテロ構造および前記第2の基板の両方を洗浄することを含むことを特徴とするプロセス。
38.上項30のプロセスにおいて、前記第2のヘテロ構造はアニールによって分割されることを特徴とするプロセス。
39.上項30のプロセスはさらに、前記エッチング工程後に、前記第2の緩和Si 1−z Ge 層を平坦化することを含むことを特徴とするプロセス。
40.上項30のプロセスはさらに、前記エッチング工程後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
41.半導体層の形成プロセスは、
第1の基板上へのグレーデッドSi 1−x Ge バッファ層の堆積であって、前記Ge濃度xはゼロから値yまで増加するものである堆積、
緩和Si 1−y Ge 層の堆積、
歪みまたは欠陥層の堆積、
緩和層の堆積、
前記歪みまたは欠陥層中にイオンを導入して第1のヘテロ構造を規定、
前記第1のヘテロ構造を第2の基板に接合して第2のヘテロ構造を規定、
および前記歪みまたは欠陥層の領域での前記第2のヘテロ構造の分割であって、前記緩和層は前記第2の基板上に残るものである分割、を含むことを特徴とするプロセス。
42.上項41のプロセスにおいて、前記歪みまたは欠陥層は、z≠yである歪みSi 1−z Ge 、または他のIII−V族材料のいずれかを含むことを特徴とするプロセス。
43.上項41のプロセスにおいて、前記緩和層または前記歪みまたは欠陥層は、wがyに近いもしくは等しいものである緩和Si 1−w Ge 、または、yが1に等しい場合に、Ge,GaAs,AlAs,ZnSe、およびInGaPの内の一つのいずれかを含むことを特徴とするプロセス。
44.上項41のプロセスはさらに、前記緩和層の前記堆積工程後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
45.上項41のプロセスはさらに、前記イオン導入工程前に絶縁層を形成することを特徴とするプロセス。
46.上項41のプロセスはさらに、前記イオン導入工程前に前記緩和層を平坦化することを含むことを特徴とするプロセス。
47.上項41のプロセスにおいて、前記イオンは水素のH イオンまたはH 2+ イオンを含むことを特徴とするプロセス。
48.上項41のプロセスはさらに、前記イオン導入工程後に前記緩和層を平坦化することを含むことを特徴とするプロセス。
49.上項41のプロセスはさらに、前記接合工程前に、前記第1のヘテロ構造と前記第2の基板とを洗浄することを含むことを特徴とするプロセス。
50.上項41のプロセスにおいて、前記第2のヘテロ構造はアニールによって分割されることを特徴とするプロセス。
51.上項41のプロセスはさらに、前記分割工程後、前記歪みまたは欠陥層の両残部の一方、および前記緩和層の表層部分を除去することを含むことを特徴とするプロセス。
52.上項41のプロセスはさらに、前記分割工程後、少なくとも一つのデバイス層または複数の集積回路デバイスを形成することを含むことを特徴とするプロセス。
53.上項41のプロセスはさらに、平坦化後、残りの第1のヘテロ構造を後続のプロセスのために再利用することを含むことを特徴とするプロセス。
54.半導体構造は、
第1の半導体基板、
xは0.1乃至1である、第2の緩和Si 1−x Ge 層、
およびGaAs,AlAs,ZnSe、およびInGaPの内の少なくとも一つ、またはy≠xである歪みSi 1−y Ge を含む第3の層、を含むことを特徴とする半導体構造。
55.半導体構造は、
単結晶シリコン基板を含む第1の基板、
第2の、前記Ge濃度xはゼロから値yまで増加するものである、グレーデッドSi 1−x Ge バッファ層、
第3の、緩和Si 1−y Ge 層、
第4の、z≠yである歪みSi 1−z Ge 層または他のIII−V族もしくはII−VI族材料のいずれかを含む、歪みまたは欠陥層、
および第5の、wがyに近いもしくは等しいものである緩和Si 1−w Ge 、または、yが1に等しい場合に、Ge,GaAs,AlAs,ZnSe、およびInGaPの内の少なくとも一つのいずれかを含む緩和層、を含むことを特徴とする半導体構造。

Claims (1)

  1. 緩和Si1−yGe層を有する半導体構造の形成プロセスは、
    第1の基板上へのグレーデッドSi1−xGeバッファ層の堆積であって、前記Ge濃度xはゼロから値yまで増加するものである堆積、
    緩和Si1−yGe層の堆積、
    前記緩和Si1−yGe層中にイオンを導入して第1のヘテロ構造を規定、
    前記第1のヘテロ構造を第2の基板に接合して第2のヘテロ構造を規定、
    および前記導入されたイオンの領域での前記第2のヘテロ構造の分割であって、前記緩和Si1−yGe層の表層部分は前記第2の基板上に残るものである分割、を含むことを特徴とするプロセス。
JP2009190647A 2000-08-16 2009-08-20 グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス Pending JP2010016390A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US22566600P 2000-08-16 2000-08-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002520282A Division JP2004507084A (ja) 2000-08-16 2001-08-10 グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス

Publications (1)

Publication Number Publication Date
JP2010016390A true JP2010016390A (ja) 2010-01-21

Family

ID=22845751

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002520282A Pending JP2004507084A (ja) 2000-08-16 2001-08-10 グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス
JP2009190647A Pending JP2010016390A (ja) 2000-08-16 2009-08-20 グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002520282A Pending JP2004507084A (ja) 2000-08-16 2001-08-10 グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス

Country Status (5)

Country Link
US (5) US6573126B2 (ja)
EP (1) EP1309989B1 (ja)
JP (2) JP2004507084A (ja)
DE (1) DE60125952T2 (ja)
WO (1) WO2002015244A2 (ja)

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3535527B2 (ja) * 1997-06-24 2004-06-07 マサチューセッツ インスティテュート オブ テクノロジー 傾斜GeSi層と平坦化を用いたゲルマニウム・オン・シリコンの貫通転位の制御
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6890835B1 (en) * 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002082514A1 (en) * 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
US20050026432A1 (en) * 2001-04-17 2005-02-03 Atwater Harry A. Wafer bonded epitaxial templates for silicon heterostructures
AU2002322105A1 (en) 2001-06-14 2003-01-02 Amberware Systems Corporation Method of selective removal of sige alloys
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US6916727B2 (en) 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
US6730551B2 (en) 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
JP2005504436A (ja) 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
US6933518B2 (en) * 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
JP2003205336A (ja) * 2002-01-08 2003-07-22 Tori Techno:Kk 高力ステンレスボルト及びその製造法
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6746902B2 (en) * 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US6649492B2 (en) * 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon
WO2003079415A2 (en) * 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US7132348B2 (en) * 2002-03-25 2006-11-07 Micron Technology, Inc. Low k interconnect dielectric using surface transformation
GB0209737D0 (en) * 2002-04-29 2002-06-05 Univ Newcastle Method of isolating adjacent components of a semiconductor device
WO2003105189A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7138310B2 (en) 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7157119B2 (en) * 2002-06-25 2007-01-02 Ppg Industries Ohio, Inc. Method and compositions for applying multiple overlying organic pigmented decorations on ceramic substrates
US7018910B2 (en) 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
US7510949B2 (en) 2002-07-09 2009-03-31 S.O.I.Tec Silicon On Insulator Technologies Methods for producing a multilayer semiconductor structure
FR2842350B1 (fr) 2002-07-09 2005-05-13 Procede de transfert d'une couche de materiau semiconducteur contraint
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
FR2842349B1 (fr) * 2002-07-09 2005-02-18 Transfert d'une couche mince a partir d'une plaquette comprenant une couche tampon
FR2848334A1 (fr) * 2002-12-06 2004-06-11 Soitec Silicon On Insulator Procede de fabrication d'une structure multicouche
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
AU2003274922A1 (en) 2002-08-23 2004-03-11 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7008857B2 (en) * 2002-08-26 2006-03-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Recycling a wafer comprising a buffer layer, after having separated a thin layer therefrom
CN100547760C (zh) * 2002-08-26 2009-10-07 S.O.I.Tec绝缘体上硅技术公司 在已经移去薄层之后对包括缓冲层的晶片的再循环方法
FR2843827B1 (fr) * 2002-08-26 2005-05-27 Recyclage mecanique d'une plaquette comprenant une couche tampon, apres y avoir preleve une couche mince
FR2843826B1 (fr) * 2002-08-26 2006-12-22 Recyclage d'une plaquette comprenant une couche tampon, apres y avoir preleve une couche mince
KR100854856B1 (ko) * 2002-08-26 2008-08-28 에스. 오. 이. 떼끄 씰리꽁 오 냉쉴라또흐 떼끄놀로지 버퍼층을 포함하는 웨이퍼를 그것으로부터 층을 취한 후에기계적으로 재활용하는 방법
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
FR2844634B1 (fr) * 2002-09-18 2005-05-27 Soitec Silicon On Insulator Formation d'une couche utile relaxee a partir d'une plaquette sans couche tampon
JP5047609B2 (ja) * 2003-01-07 2012-10-10 ソワテク 除去構造を含んでなるウェハーの、その薄層を除去した後の、機械的手段による循環使用
WO2004061944A1 (en) * 2003-01-07 2004-07-22 S.O.I.Tec Silicon On Insulator Technologies Recycling of a wafer comprising a multi-layer structure after taking-off a thin layer
US20090325362A1 (en) * 2003-01-07 2009-12-31 Nabil Chhaimi Method of recycling an epitaxied donor wafer
EP1439570A1 (en) * 2003-01-14 2004-07-21 Interuniversitair Microelektronica Centrum ( Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
EP2337062A3 (en) * 2003-01-27 2016-05-04 Taiwan Semiconductor Manufacturing Company, Limited Method for making semiconductor structures with structural homogeneity
US6995427B2 (en) 2003-01-29 2006-02-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure for providing strained crystalline layer on insulator and method for fabricating same
EP1443550A1 (en) * 2003-01-29 2004-08-04 S.O.I. Tec Silicon on Insulator Technologies S.A. A method for fabricating a strained crystalline layer on an insulator, a semiconductor structure therefor, and a fabricated semiconductor structure
US7399681B2 (en) * 2003-02-18 2008-07-15 Corning Incorporated Glass-based SOI structures
US7176528B2 (en) * 2003-02-18 2007-02-13 Corning Incorporated Glass-based SOI structures
US7348260B2 (en) 2003-02-28 2008-03-25 S.O.I.Tec Silicon On Insulator Technologies Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
FR2851848B1 (fr) * 2003-02-28 2005-07-08 Soitec Silicon On Insulator Relaxation a haute temperature d'une couche mince apres transfert
US7018909B2 (en) 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
US20040192067A1 (en) * 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) * 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
DE10318284A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US20050124137A1 (en) * 2003-05-07 2005-06-09 Canon Kabushiki Kaisha Semiconductor substrate and manufacturing method therefor
JP4532846B2 (ja) * 2003-05-07 2010-08-25 キヤノン株式会社 半導体基板の製造方法
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
DE60336543D1 (de) * 2003-05-27 2011-05-12 Soitec Silicon On Insulator Verfahren zur Herstellung einer heteroepitaktischen Mikrostruktur
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
FR2857953B1 (fr) * 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US20050233548A1 (en) * 2003-07-23 2005-10-20 Kazuhisa Arai Method for fabricating semiconductor wafer
US7538010B2 (en) * 2003-07-24 2009-05-26 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
FR2857983B1 (fr) * 2003-07-24 2005-09-02 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
EP1649495A2 (en) * 2003-07-30 2006-04-26 ASM America, Inc. Epitaxial growth of relaxed silicon germanium layers
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
WO2005020334A2 (en) * 2003-08-22 2005-03-03 Massachusetts Institute Of Technology High efficiency tandem solar cells on silicon substrates using ultra thin germanium buffer layers
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US7608548B2 (en) * 2003-09-10 2009-10-27 Shin-Etsu Handotai Co., Ltd. Method for cleaning a multilayer substrate and method for bonding substrates and method for producing a bonded wafer
US20050067377A1 (en) * 2003-09-25 2005-03-31 Ryan Lei Germanium-on-insulator fabrication utilizing wafer bonding
DE60323098D1 (de) * 2003-09-26 2008-10-02 Soitec Silicon On Insulator Verfahren zur Herstellung vonn Substraten für epitakitisches Wachstum
US20050070070A1 (en) * 2003-09-29 2005-03-31 International Business Machines Method of forming strained silicon on insulator
FR2860340B1 (fr) * 2003-09-30 2006-01-27 Soitec Silicon On Insulator Collage indirect avec disparition de la couche de collage
US6933219B1 (en) * 2003-11-18 2005-08-23 Advanced Micro Devices, Inc. Tightly spaced gate formation through damascene process
US6992025B2 (en) * 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
US7166522B2 (en) * 2004-01-23 2007-01-23 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
US6995078B2 (en) * 2004-01-23 2006-02-07 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
US7312125B1 (en) * 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
EP1571241A1 (en) * 2004-03-01 2005-09-07 S.O.I.T.E.C. Silicon on Insulator Technologies Method of manufacturing a wafer
JP3884439B2 (ja) * 2004-03-02 2007-02-21 株式会社東芝 半導体装置
FR2867310B1 (fr) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator Technique d'amelioration de la qualite d'une couche mince prelevee
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
FR2867307B1 (fr) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator Traitement thermique apres detachement smart-cut
US7282449B2 (en) * 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
US7319530B1 (en) * 2004-03-29 2008-01-15 National Semiconductor Corporation System and method for measuring germanium concentration for manufacturing control of BiCMOS films
US6893936B1 (en) * 2004-06-29 2005-05-17 International Business Machines Corporation Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
KR101140450B1 (ko) * 2004-08-18 2012-04-30 코닝 인코포레이티드 변형된 반도체-온-절연체 구조 및 변형된 반도체-온-절연체구조의 제조방법
US7241670B2 (en) * 2004-09-07 2007-07-10 Sharp Laboratories Of America, Inc Method to form relaxed SiGe layer with high Ge content using co-implantation of silicon with boron or helium and hydrogen
US7235812B2 (en) * 2004-09-13 2007-06-26 International Business Machines Corporation Method of creating defect free high Ge content (>25%) SiGe-on-insulator (SGOI) substrates using wafer bonding techniques
DE102004048096A1 (de) * 2004-09-30 2006-04-27 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
FR2876841B1 (fr) * 2004-10-19 2007-04-13 Commissariat Energie Atomique Procede de realisation de multicouches sur un substrat
US7247545B2 (en) * 2004-11-10 2007-07-24 Sharp Laboratories Of America, Inc. Fabrication of a low defect germanium film by direct wafer bonding
US7547609B2 (en) * 2004-11-24 2009-06-16 Silicon Genesis Corporation Method and structure for implanting bonded substrates for electrical conductivity
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7229901B2 (en) * 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
FR2880988B1 (fr) * 2005-01-19 2007-03-30 Soitec Silicon On Insulator TRAITEMENT D'UNE COUCHE EN SI1-yGEy PRELEVEE
US7585792B2 (en) * 2005-02-09 2009-09-08 S.O.I.Tec Silicon On Insulator Technologies Relaxation of a strained layer using a molten layer
US7687372B2 (en) * 2005-04-08 2010-03-30 Versatilis Llc System and method for manufacturing thick and thin film devices using a donee layer cleaved from a crystalline donor
US20060234474A1 (en) * 2005-04-15 2006-10-19 The Regents Of The University Of California Method of transferring a thin crystalline semiconductor layer
FR2884647B1 (fr) * 2005-04-15 2008-02-22 Soitec Silicon On Insulator Traitement de plaques de semi-conducteurs
US20060270190A1 (en) * 2005-05-25 2006-11-30 The Regents Of The University Of California Method of transferring a thin crystalline semiconductor layer
US7432177B2 (en) * 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
US20070117350A1 (en) * 2005-08-03 2007-05-24 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) with layer transfer from oxidized donor
KR20080033341A (ko) * 2005-08-03 2008-04-16 엠이엠씨 일렉트로닉 머티리얼즈, 인크. 스트레인드 실리콘 층 내에 개선된 결정화도를 갖는스트레인드 실리콘 온 인슐레이터 구조
CN101292341A (zh) * 2005-08-26 2008-10-22 Memc电子材料有限公司 绝缘体上应变硅结构的制造方法
US20070045707A1 (en) * 2005-08-31 2007-03-01 Szu-Yu Wang Memory device and manufacturing method thereof
FR2891281B1 (fr) * 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
US7638410B2 (en) * 2005-10-03 2009-12-29 Los Alamos National Security, Llc Method of transferring strained semiconductor structure
US7153761B1 (en) * 2005-10-03 2006-12-26 Los Alamos National Security, Llc Method of transferring a thin crystalline semiconductor layer
FR2892230B1 (fr) * 2005-10-19 2008-07-04 Soitec Silicon On Insulator Traitement d'une couche de germamium
FR2892733B1 (fr) * 2005-10-28 2008-02-01 Soitec Silicon On Insulator Relaxation de couches
CN1992173B (zh) * 2005-11-30 2010-04-21 硅起源股份有限公司 用于注入键合衬底以便导电的方法和结构
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US20070264796A1 (en) * 2006-05-12 2007-11-15 Stocker Mark A Method for forming a semiconductor on insulator structure
US7777290B2 (en) * 2006-06-13 2010-08-17 Wisconsin Alumni Research Foundation PIN diodes for photodetection and high-speed, high-resolution image sensing
US7485524B2 (en) * 2006-06-21 2009-02-03 International Business Machines Corporation MOSFETs comprising source/drain regions with slanted upper surfaces, and method for fabricating the same
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
TW200806829A (en) * 2006-07-20 2008-02-01 Univ Nat Central Method for producing single crystal gallium nitride substrate
US7608526B2 (en) * 2006-07-24 2009-10-27 Asm America, Inc. Strained layers within semiconductor buffer structures
JP2008034411A (ja) * 2006-07-26 2008-02-14 Toshiba Corp 窒化物半導体素子
US7960218B2 (en) * 2006-09-08 2011-06-14 Wisconsin Alumni Research Foundation Method for fabricating high-speed thin-film transistors
US7442599B2 (en) * 2006-09-15 2008-10-28 Sharp Laboratories Of America, Inc. Silicon/germanium superlattice thermal sensor
US7541105B2 (en) * 2006-09-25 2009-06-02 Seagate Technology Llc Epitaxial ferroelectric and magnetic recording structures including graded lattice matching layers
EP1928020B1 (en) * 2006-11-30 2020-04-22 Soitec Method of manufacturing a semiconductor heterostructure
JP2008198656A (ja) * 2007-02-08 2008-08-28 Shin Etsu Chem Co Ltd 半導体基板の製造方法
FR2912550A1 (fr) * 2007-02-14 2008-08-15 Soitec Silicon On Insulator Procede de fabrication d'une structure ssoi.
FR2916573A1 (fr) * 2007-05-21 2008-11-28 Commissariat Energie Atomique PROCEDE DE FABRICATION D'UN SUBSTRAT SOI ASSOCIANT DES ZONES A BASE DE SILICIUM ET DES ZONES A BASE DE GaAs
FR2917232B1 (fr) * 2007-06-06 2009-10-09 Soitec Silicon On Insulator Procede de fabrication d'une structure pour epitaxie sans zone d'exclusion.
US7791063B2 (en) * 2007-08-30 2010-09-07 Intel Corporation High hole mobility p-channel Ge transistor structure on Si substrate
US20100003828A1 (en) * 2007-11-28 2010-01-07 Guowen Ding Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
FR2929758B1 (fr) * 2008-04-07 2011-02-11 Commissariat Energie Atomique Procede de transfert a l'aide d'un substrat ferroelectrique
EP2151852B1 (en) * 2008-08-06 2020-01-15 Soitec Relaxation and transfer of strained layers
US7834456B2 (en) * 2009-01-20 2010-11-16 Raytheon Company Electrical contacts for CMOS devices and III-V devices formed on a silicon substrate
US8853745B2 (en) * 2009-01-20 2014-10-07 Raytheon Company Silicon based opto-electric circuits
US8058143B2 (en) * 2009-01-21 2011-11-15 Freescale Semiconductor, Inc. Substrate bonding with metal germanium silicon material
GB2467934B (en) * 2009-02-19 2013-10-30 Iqe Silicon Compounds Ltd Photovoltaic cell
GB2467935B (en) * 2009-02-19 2013-10-30 Iqe Silicon Compounds Ltd Formation of thin layers of GaAs and germanium materials
FR2942674B1 (fr) * 2009-02-27 2011-12-16 Commissariat Energie Atomique Procede d'elaboration d'un substrat hybride par recristallisation partielle d'une couche mixte
FR2943174B1 (fr) * 2009-03-12 2011-04-15 Soitec Silicon On Insulator Adaptation du parametre de maille d'une couche de materiau contraint
US7994550B2 (en) * 2009-05-22 2011-08-09 Raytheon Company Semiconductor structures having both elemental and compound semiconductor devices on a common substrate
US8119904B2 (en) 2009-07-31 2012-02-21 International Business Machines Corporation Silicon wafer based structure for heterostructure solar cells
US9455146B2 (en) * 2009-12-17 2016-09-27 California Institute Of Technology Virtual substrates for epitaxial growth and methods of making the same
WO2011126528A1 (en) * 2010-04-08 2011-10-13 California Institute Of Technology Virtual substrates for epitaxial growth and methods of making the same
CN101866874B (zh) * 2010-06-01 2013-05-22 中国科学院上海微系统与信息技术研究所 一种利用层转移技术制备绝缘体上锗硅材料的方法
CN101866875B (zh) * 2010-06-01 2011-12-07 中国科学院上海微系统与信息技术研究所 一种利用层转移和离子注入技术制备sgoi材料的方法
CN101916770B (zh) * 2010-07-13 2012-01-18 清华大学 具有双缓变结的Si-Ge-Si半导体结构及其形成方法
DE102010046215B4 (de) 2010-09-21 2019-01-03 Infineon Technologies Austria Ag Halbleiterkörper mit verspanntem Bereich, Elektronisches Bauelement und ein Verfahren zum Erzeugen des Halbleiterkörpers.
US8124470B1 (en) 2010-09-29 2012-02-28 International Business Machines Corporation Strained thin body semiconductor-on-insulator substrate and device
EP2656388B1 (en) 2010-12-24 2020-04-15 QUALCOMM Incorporated Trap rich layer for semiconductor devices
US9624096B2 (en) 2010-12-24 2017-04-18 Qualcomm Incorporated Forming semiconductor structure with device layers and TRL
US9754860B2 (en) 2010-12-24 2017-09-05 Qualcomm Incorporated Redistribution layer contacting first wafer through second wafer
US9553013B2 (en) 2010-12-24 2017-01-24 Qualcomm Incorporated Semiconductor structure with TRL and handle wafer cavities
US8536021B2 (en) 2010-12-24 2013-09-17 Io Semiconductor, Inc. Trap rich layer formation techniques for semiconductor devices
US8481405B2 (en) 2010-12-24 2013-07-09 Io Semiconductor, Inc. Trap rich layer with through-silicon-vias in semiconductor devices
US9065000B2 (en) 2011-03-02 2015-06-23 Gregory Belenky Compound semiconductor device on virtual substrate
JP5830255B2 (ja) * 2011-03-03 2015-12-09 信越化学工業株式会社 半導体基板の製造方法
FR2972567B1 (fr) * 2011-03-09 2013-03-22 Soitec Silicon On Insulator Méthode de formation d'une structure de ge sur iii/v sur isolant
RU2469433C1 (ru) * 2011-07-13 2012-12-10 Юрий Георгиевич Шретер Способ лазерного отделения эпитаксиальной пленки или слоя эпитаксиальной пленки от ростовой подложки эпитаксиальной полупроводниковой структуры (варианты)
US8476629B2 (en) * 2011-09-27 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced wafer test line structure
WO2013058222A1 (ja) * 2011-10-18 2013-04-25 富士電機株式会社 固相接合ウエハの支持基板の剥離方法および半導体装置の製造方法
CN103165511B (zh) * 2011-12-14 2015-07-22 中国科学院上海微系统与信息技术研究所 一种制备goi的方法
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
DE102013202851A1 (de) 2013-02-21 2014-08-21 Leibniz-Institut Für Festkörper- Und Werkstoffforschung Dresden E.V. Schichtsystem zur ermittlung von eigenschaften der materialien von funktionsschichten und verfahren zu seiner herstellung
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions
US10032870B2 (en) 2015-03-12 2018-07-24 Globalfoundries Inc. Low defect III-V semiconductor template on porous silicon
US9754968B2 (en) 2015-04-30 2017-09-05 International Business Machines Corporation Structure and method to form III-V, Ge and SiGe fins on insulator
US10418273B2 (en) * 2015-10-13 2019-09-17 Nanyang Technological University Method of manufacturing a germanium-on-insulator substrate
US9466672B1 (en) 2015-11-25 2016-10-11 International Business Machines Corporation Reduced defect densities in graded buffer layers by tensile strained interlayers
US9570300B1 (en) 2016-02-08 2017-02-14 International Business Machines Corporation Strain relaxed buffer layers with virtually defect free regions
US11018254B2 (en) * 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
US9922941B1 (en) 2016-09-21 2018-03-20 International Business Machines Corporation Thin low defect relaxed silicon germanium layers on bulk silicon substrates
US10176991B1 (en) 2017-07-06 2019-01-08 Wisconsin Alumni Research Foundation High-quality, single-crystalline silicon-germanium films
CN108054203B (zh) * 2017-12-22 2020-01-10 重庆邮电大学 一种绝缘体上硅锗衬底的异质结双极晶体管及其制造方法
CN108878263B (zh) * 2018-06-25 2022-03-18 中国科学院微电子研究所 半导体结构与其制作方法

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US51140A (en) * 1865-11-28 Improved cotton-bale raft
US52084A (en) * 1866-01-16 Improved hay and cotton press
US4010045A (en) 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
JPH0656887B2 (ja) 1982-02-03 1994-07-27 株式会社日立製作所 半導体装置およびその製法
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
DE3542482A1 (de) 1985-11-30 1987-06-04 Licentia Gmbh Modulationsdotierter feldeffekttransistor
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (de) 1988-05-13 1989-11-23 Eurosil Electronic Gmbh Nichtfluechtige speicherzelle und verfahren zur herstellung
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US4997776A (en) 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
DE69032597T2 (de) 1990-02-20 1999-03-25 Toshiba Kawasaki Kk Bipolartransistor mit Heteroübergang
US5089872A (en) * 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5158907A (en) 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
DE4101167A1 (de) 1991-01-17 1992-07-23 Daimler Benz Ag Anordnung und verfahren zur herstellung komplementaerer feldeffekttransistoren
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
JPH07187892A (ja) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
JP3243303B2 (ja) * 1991-10-28 2002-01-07 ゼロックス・コーポレーション 量子閉じ込め半導体発光素子及びその製造方法
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (ja) 1992-01-31 2001-07-23 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JP3416163B2 (ja) * 1992-01-31 2003-06-16 キヤノン株式会社 半導体基板及びその作製方法
EP1179842A3 (en) 1992-01-31 2002-09-04 Canon Kabushiki Kaisha Semiconductor substrate and method for preparing same
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JPH06140624A (ja) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The ショットキー接合素子
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5426316A (en) 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (ja) 1993-02-16 2000-10-03 富士通株式会社 化合物半導体結晶の成長方法
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (ja) 1993-09-20 1995-04-07 Fujitsu Ltd 化合物半導体結晶基板の製造方法
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (ja) 1993-11-15 1999-11-22 株式会社東芝 誘電体分離型バイポーラトランジスタの製造方法
JP2669368B2 (ja) 1994-03-16 1997-10-27 日本電気株式会社 Si基板上化合物半導体積層構造の製造方法
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US6218677B1 (en) 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
FR2725074B1 (fr) * 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
IT1268123B1 (it) * 1994-10-13 1997-02-20 Sgs Thomson Microelectronics Fetta di materiale semiconduttore per la fabbricazione di dispositivi integrati e procedimento per la sua fabbricazione.
EP0799495A4 (en) 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
JP3265493B2 (ja) * 1994-11-24 2002-03-11 ソニー株式会社 Soi基板の製造方法
US5548128A (en) 1994-12-14 1996-08-20 The United States Of America As Represented By The Secretary Of The Air Force Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
JP3403877B2 (ja) 1995-10-25 2003-05-06 三菱電機株式会社 半導体記憶装置とその製造方法
JPH11500873A (ja) 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
FR2744285B1 (fr) 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
FR2747506B1 (fr) 1996-04-11 1998-05-15 Commissariat Energie Atomique Procede d'obtention d'un film mince de materiau semiconducteur comprenant notamment des composants electroniques
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
FR2748850B1 (fr) * 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
FR2748851B1 (fr) * 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
JP3217015B2 (ja) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
JPH1041400A (ja) 1996-07-26 1998-02-13 Sony Corp 半導体装置およびその製造方法
US6191432B1 (en) 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
JP3320641B2 (ja) * 1996-09-13 2002-09-03 株式会社東芝 メモリセル
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (de) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
EP0845815A3 (en) 1996-11-28 1999-03-03 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of designing the same and semiconductor integrated circuit device
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
EP0867701A1 (en) 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6155909A (en) * 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
DE19720008A1 (de) 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
JP3535527B2 (ja) 1997-06-24 2004-06-07 マサチューセッツ インスティテュート オブ テクノロジー 傾斜GeSi層と平坦化を用いたゲルマニウム・オン・シリコンの貫通転位の制御
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JPH1174164A (ja) * 1997-08-27 1999-03-16 Canon Inc 基板処理装置、基板支持装置及び基板処理方法並びに基板の製造方法
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US6033995A (en) 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (ja) 1997-12-10 2003-09-16 株式会社東芝 不揮発性半導体メモリ及びデータ読み出し方法
FR2773177B1 (fr) 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6521041B2 (en) 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
JP4258034B2 (ja) 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US6372356B1 (en) 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
JPH11351344A (ja) 1998-06-11 1999-12-24 Nippon Seiko Kk トロイダル型無段変速機
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3403076B2 (ja) 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
US6335546B1 (en) 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
FR2783254B1 (fr) * 1998-09-10 2000-11-10 France Telecom Procede d'obtention d'une couche de germanium monocristallin sur un substrat de silicium monocristallin,et produits obtenus
JP2000124325A (ja) 1998-10-16 2000-04-28 Nec Corp 半導体装置およびその製造方法
JP2000124092A (ja) * 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
US6329063B2 (en) 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
DE19859429A1 (de) * 1998-12-22 2000-06-29 Daimler Chrysler Ag Verfahren zur Herstellung epitaktischer Silizium-Germaniumschichten
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6534381B2 (en) * 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
EP1020900B1 (en) 1999-01-14 2009-08-05 Panasonic Corporation Semiconductor device and method for fabricating the same
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
EP1173893A4 (en) 1999-01-15 2007-08-01 Univ California POLYCRYSTALLINE SILICON GERMANIUM FILMS FOR THE MANUFACTURE OF MICROELECTROCHEMICAL SYSTEMS
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6346459B1 (en) * 1999-02-05 2002-02-12 Silicon Wafer Technologies, Inc. Process for lift off and transfer of semiconductor devices onto an alien substrate
US20010042503A1 (en) 1999-02-10 2001-11-22 Lo Yu-Hwa Method for design of epitaxial layer and substrate structures for high-quality epitaxial growth on lattice-mismatched substrates
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6251755B1 (en) 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
TW591132B (en) * 1999-06-17 2004-06-11 Taiwan Semiconductor Mfg Method of growing SiGe epitaxy
DE60042045D1 (de) * 1999-06-22 2009-06-04 Panasonic Corp Heteroübergangsbipolartransistoren und entsprechende Herstellungsverfahren
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6355493B1 (en) * 1999-07-07 2002-03-12 Silicon Wafer Technologies Inc. Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon
JP2001036054A (ja) * 1999-07-19 2001-02-09 Mitsubishi Electric Corp Soi基板の製造方法
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6204529B1 (en) 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
JP2001144275A (ja) * 1999-08-27 2001-05-25 Shin Etsu Handotai Co Ltd 貼り合わせsoiウエーハの製造方法および貼り合わせsoiウエーハ
US6235567B1 (en) 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6368938B1 (en) * 1999-10-05 2002-04-09 Silicon Wafer Technologies, Inc. Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate
US6249022B1 (en) 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6271726B1 (en) 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
WO2001054202A1 (en) 2000-01-20 2001-07-26 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6344417B1 (en) * 2000-02-18 2002-02-05 Silicon Wafer Technologies Method for micro-mechanical structures
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
KR100392166B1 (ko) * 2000-03-17 2003-07-22 가부시끼가이샤 도시바 반도체 장치의 제조 방법 및 반도체 장치
JP3603747B2 (ja) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 SiGe膜の形成方法とヘテロ接合トランジスタの製造方法、及びヘテロ接合バイポーラトランジスタ
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
AU2001268577A1 (en) 2000-06-22 2002-01-02 Massachusetts Institute Of Technology Etch stop layer system
US7503975B2 (en) 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
US6573126B2 (en) * 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6420937B1 (en) 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
JP2002076334A (ja) 2000-08-30 2002-03-15 Hitachi Ltd 半導体装置及びその製造方法
US6524935B1 (en) 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
JP2002164520A (ja) 2000-11-27 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
WO2002047168A2 (en) 2000-12-04 2002-06-13 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
JP4708577B2 (ja) * 2001-01-31 2011-06-22 キヤノン株式会社 薄膜半導体装置の製造方法
US6445016B1 (en) * 2001-02-28 2002-09-03 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
EP1364411A1 (en) 2001-03-02 2003-11-26 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
JP2002289533A (ja) 2001-03-26 2002-10-04 Kentaro Sawano 半導体表面の研磨方法、半導体デバイスの製造方法および半導体デバイス
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
AU2002322105A1 (en) 2001-06-14 2003-01-02 Amberware Systems Corporation Method of selective removal of sige alloys
US6717213B2 (en) 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
JP2003031495A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
US6624037B2 (en) * 2001-08-01 2003-09-23 Advanced Micro Devices, Inc. XE preamorphizing implantation
US6730551B2 (en) 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7494901B2 (en) * 2002-04-05 2009-02-24 Microng Technology, Inc. Methods of forming semiconductor-on-insulator constructions
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6953736B2 (en) * 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US6936869B2 (en) * 2002-07-09 2005-08-30 International Rectifier Corporation Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
US7535100B2 (en) * 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6812086B2 (en) * 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6828632B2 (en) * 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US6835633B2 (en) * 2002-07-24 2004-12-28 International Business Machines Corporation SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer
US7427538B2 (en) * 2002-08-16 2008-09-23 Intel Corporation Semiconductor on insulator apparatus and method
JP3506694B1 (ja) * 2002-09-02 2004-03-15 沖電気工業株式会社 Mosfetデバイス及びその製造方法
JP2004103855A (ja) * 2002-09-10 2004-04-02 Canon Inc 基板及びその製造方法
JP2004103946A (ja) * 2002-09-11 2004-04-02 Canon Inc 基板及びその製造方法
US6759712B2 (en) * 2002-09-12 2004-07-06 Micron Technology, Inc. Semiconductor-on-insulator thin film transistor constructions
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication

Also Published As

Publication number Publication date
US20050009288A1 (en) 2005-01-13
US20040173791A1 (en) 2004-09-09
US6921914B2 (en) 2005-07-26
DE60125952D1 (de) 2007-02-22
US6713326B2 (en) 2004-03-30
US6737670B2 (en) 2004-05-18
EP1309989A2 (en) 2003-05-14
US6573126B2 (en) 2003-06-03
WO2002015244A2 (en) 2002-02-21
JP2004507084A (ja) 2004-03-04
DE60125952T2 (de) 2007-08-02
US20030168654A1 (en) 2003-09-11
EP1309989B1 (en) 2007-01-10
WO2002015244A3 (en) 2002-10-31
US20030155568A1 (en) 2003-08-21
US20020072130A1 (en) 2002-06-13

Similar Documents

Publication Publication Date Title
EP1309989B1 (en) Process for producing semiconductor article using graded expitaxial growth
US7390725B2 (en) Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
US6054363A (en) Method of manufacturing semiconductor article
US7534701B2 (en) Process for transferring a layer of strained semiconductor material
US6893936B1 (en) Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
US8748292B2 (en) Methods of forming strained-semiconductor-on-insulator device structures
US7445977B2 (en) Method of creating defect free high Ge content (&gt; 25%) SiGe-on-insulator (SGOI) substrates using wafer bonding techniques
JP4919316B2 (ja) 層の移転を介してシリコン・オン・グラスを製造する方法
US7897480B2 (en) Preparation of high quality strained-semiconductor directly-on-insulator substrates
JP4730877B2 (ja) 応力を低減して層転位を介して緩和シリコン−ゲルマニウムを絶縁体上に作製する方法
KR100829644B1 (ko) 스트레인된 반도체 재료층의 전달 방법
CA2220600C (en) Method of manufacturing semiconductor article
WO2004001810A2 (en) Coplanar integration of lattice-mismatched semiconductor with silicon via wafer boning virtual substrates
WO2002043153A1 (fr) Procede de fabrication de plaquette de semi-conducteur
JP4296726B2 (ja) 半導体基板の製造方法及び電界効果型トランジスタの製造方法
Cheng et al. Relaxed silicon-germanium on insulator substrate by layer transfer
KR100609367B1 (ko) Soi 기판의 제조방법

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A073

Effective date: 20110201