JP2009501452A - 基板処理チャンバ用コンポーネントの局所表面アニーリング - Google Patents

基板処理チャンバ用コンポーネントの局所表面アニーリング Download PDF

Info

Publication number
JP2009501452A
JP2009501452A JP2008521576A JP2008521576A JP2009501452A JP 2009501452 A JP2009501452 A JP 2009501452A JP 2008521576 A JP2008521576 A JP 2008521576A JP 2008521576 A JP2008521576 A JP 2008521576A JP 2009501452 A JP2009501452 A JP 2009501452A
Authority
JP
Japan
Prior art keywords
component
microcracks
laser
local surface
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008521576A
Other languages
English (en)
Inventor
アシシュ バハトナガー
ラックスマン ムルゲシュ
パドマ ゴパラクリシュナン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009501452A publication Critical patent/JP2009501452A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0036Laser treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B25/00Annealing glass products
    • C03B25/02Annealing glass products in a discontinuous way
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Optics & Photonics (AREA)
  • Structural Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板処理チャンバコンポーネントは、アニールされたマイクロクラックを有する局所表面領域を備えた構造体を有している。アニールされたマイクロクラックによって、クラック伝播が減じ、破壊抵抗が増大する。一製造方法において、コンポーネントの構造体は、通常の手段により形成され、表面マイクロクラックをアニールするのに十分な時間にわたって、構造体の局所表面領域に、レーザービームが向けられる。

Description

背景
本発明の実施形態は、基板処理チャンバ用コンポーネントに関する。
基板処理チャンバは、励起されたプロセスガス中で、例えば、半導体ウェハやディスプレイ等の基板を処理するのに用いられる。処理チャンバは、通常、筺体壁を含んでおり、これは、ガスが導入され励起されるプロセスゾーンを囲むものである。チャンバを用いて、化学又は物理蒸着により、基板上に材料を堆積し、材料を基板からエッチングし、材料を基板上に注入し、或いは層の酸化又は窒化物の形成等により基板層を変化させる。チャンバは、通常、数多くの内部チャンバコンポーネントを有している。例えば、基板サポート、ガス分配器、ガス励起器及び様々なライナやシールドである。例えば、ライナ及びシールドは、基板を囲む円柱部材とし、基板周囲にプラズマを方向づけて含める焦点リング、下にあるコンポーネント又は基板の一部への堆積を防ぐ堆積リング、基板シールド及びチャンバ壁ライナとして機能させることができる。
セラミック材料を用いて、内部チャンバコンポーネント、特に、励起ガス又はプラズマに露出される、即ち、高温及び腐食となるコンポーネントが形成されることが多い。アルミナ及びシリカ等のセラミック材料は結晶であるが、シリカガラスは長距離秩序を有していない。セラミックスは、通常、励起ガスにより、良好な耐食性を示すため、従って、金属合金のようには頻繁に交換すべきではない。セラミックコンポーネントはまた、コンポーネントの腐食により生じるチャンバ内での粒子の生成も減じる。セラミックコンポーネントはまた、熱劣化せず、高温に耐え得る。石英コンポーネントは、フッ素種を含有するプラズマ等その他の材料を腐食するプラズマに対して、特に有用である。
しかしながら、セラミック材料は、脆性故障モードとなり易く、チャンバでの使用中に、又はコンポーネントの交換やクリーニングでの取扱中に、クラックが生じたり、欠けたりすることが多い。アモルファス及びマイクロクリスタリン材料は、クラック伝播により、脆性破壊に特になり易い。ガラス等のアモルファス材料において、表面マイクロクラックは、原子レベルで伝播する。ガラスには、長距離秩序が全くなく、短距離秩序を有するためである。石英等のマイクロクリスタリン材料は、表面にグレインを有しており、これらグレインは、単一グレインを通る粒内マイクロクラック、グレイン周囲及びグレイン境界に沿って延在する粒間マイクロクラック、並びに、近接するグレインを横切る粒内マイクロクラックを持つ可能性がある。この中でも、石英のマイクロクリスタリングレイン周囲に延在する粒間マイクロクラックは、通常、クラック伝播にとって最も良くなく、コンポーネントが欠けたり、クラックを生じることにつながることが多い。
このように、欠けやクラックの少ないマイクロクリスタリンやアモルファスセラミックでできたセラミックコンポーネントが望まれている。更に、使用中の故障率の少ないかかるセラミックコンポーネントを製造することも望まれている。また、過剰の腐食や熱劣化なしに、チャンバ内の励起ガス環境に耐え得るセラミックコンポーネントも望まれている。
概要
基板処理チャンバコンポーネントは、アニールされたマイクロクラックを有する局所表面領域を備えた構造体を有する。アニールされたマイクロクラックによって、クラック伝播が減じ、破壊抵抗が増大する。コンポーネントの構造体が形成され、表面マイクロクラックをアニールするのに十分な時間にわたって、コンポーネントの局所表面領域に、レーザービームが向けられる。この結果、材料が強化され、コンポーネントの寿命が長くなる。好適なレーザーとしては、CO及びアルゴンレーザーが挙げられる。構造体は、結晶セラミック、ガラス又はガラスセラミック材料で作製することができ、例えば、構造体は、石英製リングとすることができる。
説明
基板処理チャンバコンポーネント20は、図1A〜1Dに示すような、マイクロクラック28を有する局所表面領域26を備えた構造体24を含む。チャンバコンポーネント20は、セラミック、ガラス又はガラスセラミック材料、例えば、石英、シリカガラス、酸化アルミニウム、酸化チタン、窒化ケイ素、酸化ジルコニウム及びその他のかかる材料等で作製することができる。表面マイクロクラック28は、細塵やその他研磨材料により生じる。これらは、コンポーネント製造中又は製造後に、コンポーネント表面26に当たって表面を摩耗する。図1Aに、ガラス製のコンポーネント20を示す。これは、アモルファスで、短距離原子秩序を有しているが、長距離原子秩序は有していない。例えば、シリカガラスは、個々のシリカ四面体内に、固定されたケイ素及び酸素結合角で、短距離秩序を有しているが、シリカ四面体は、不規則な結合角で相互接続されていてもよい。ガラスでは、マイクロクラックは非常に細かく、原子結合で終わっている。図1Cに図示するようなマイクロクリスタリンセラミック材料は、ミクロンサイズの寸法を有するファイングレイン29を有する多結晶である。マイクロクリスタリンでは、マイクロクラック28は、通常、ファイングレイン29周囲又はグレイン境界31に延在しているが、単一又は近接グレイン29を横切ってもいる。コンポーネント20の表面マイクロクラック28は、応力集中部として作用して、印加された力をマイクロクラック20の先端で集中させる。マイクロクラック28の寸法が非常に小さいため、ガラス材料のコンポーネント材料の原子間での原子結合のレベル及びマイクロクリスタリン材料のミクロンサイズのグレインのレベルで、印加された応力は、クラック先端で非常に大きくなる。この結果、急速な最悪の破壊モードとなり、小さな印加力又は衝撃であっても、コンポーネント20の一部に容易にクラックが生じたり、欠けたりする可能性がある。
一実施形態において、コンポーネント20は、図2に概略を示すような、リング25形の構造体24を含む。リング25は、マイクロクラック28を有する表面26を含む。リング25は、内側側壁28及び内側側壁30を有する環形である。内側側壁28は、内側軸34に向いていて、構造体はその周囲で回転対称である。リング25は、処理チャンバ、チャンバコンポーネント又はチャンバ内の基板の一部を保護したり、それに合わせた形状となっている。例えば、コンポーネント20は、チャンバ内で処理されている基板周囲に適合するサイズの円柱部材であるライナやシールドである。シールド20は、基板を囲む石英のリグである。コンポーネント20はまた、堆積リング、シャドーリング又はカバーリングとすることもできる。更に他のチャンバコンポーネントとしては、チャンバ壁ライナが挙げられる。
コンポーネント20の構造体24にある表面マイクロクラック28をアニールして、図1B及び1Dに示すように、マイクロクラックを修復して閉じ、コンポーネント20のクラック伝播を減じ、破壊抵抗を増大する。一実施形態において、十分に高い強度で、マイクロクラック28周囲の領域26が、マイクロクラック28を軟化させ修復させるのに十分な時間にわたって、コンポーネント20の局所表面領域26に、レーザービームを向ける。レーザービームを用いて、コンポーネント20の局所表面領域を選択的に制御する。局所表面領域26は、使用中に破壊する傾向のある領域、又は、製造中に過剰のマイクロクラックを有する領域、例えば、コンポーネント製造の取扱中に、印加された外力から摩耗及び研磨を受け易い領域である。このように、局所表面領域は、リング25の平坦な上面にあってもよい。局所表面領域26はまた、取扱及び使用中に印加応力を受け易いコンポーネント20の領域も含むことができる。例えば、チャンバ20で用いる石英リング25の端部36は、所定数のプロセスサイクルについての使用後に、クリーニング又は交換のためにリング25を取り外すと、欠けたり、クラックが生じることが多い。隅部も含めて端部36は、使用中に容易にクラックが生じたり、欠けたりすることが多い。このように、石英リングの領域26の破壊強度を増大すると、そのプロセス寿命を大幅に増大させることができる。
レーザービームのエネルギー、並びに焦点距離、ビーム形状及びビーム直径等のビーム特性を制御して、表面マイクロクラック28をアニールするのに必要なマイクロクラック修復温度より高い温度に、コンポーネント20の局所表面領域の浅い部分を選択的に制御してもよい。一実施形態において、レーザービームを用いて、コンポーネント20の局所表面領域26の、500ミクロン未満、より一般的には100ミクロン未満の深さを有する薄表面層を加熱する。集束レーザービームは、コンポーネント20の変形や熱破壊となる恐れのあるコンポーネントのバルク温度を過剰に上げることなく、クラック修復温度より高い温度で、コンポーネント20の局所表面領域26を選択的に加熱する。コンポーネント20の薄表面層の加熱後、表面から周囲環境外への熱伝導により、単純に、熱表面の急速な冷却が生じる。局所表面領域26の非常に狭い部分のみが、レーザービームにより加熱されるため、自然の伝導又は対流による冷却速度は比較的早い。
レーザービーム熱処理は、典型的なアニーリングプロセスとして説明されているが、その他のアニーリングプロセスを用いることもできる。例えば、代替のアニーリングプロセスとしては、プラズマジェット加熱、電気アーク加熱、炎加熱が挙げられる。このように、本発明の範囲は、本明細書に記載した典型例に限定されるものではなく、当業者に明らかなその他の局所表面アニーリングプロセス及び装置を含むものとする。
マイクロクラック形成の進行は、アニーリングステップにより実質的に部分的又は全く無効とする。レーザーによりマイクロクラック表面に供給された局所熱エネルギーによって、局所加熱領域が軟化及びフラクシングされて、図1B及び1Dに概略を示すように、マイクロクラック28が孤立し、閉じられる。図1A及び1Bに示すように、アモルファス又はガラス状材料では、マイクロクラック修復プロセスが向上するものと考えられる。マイクロクラック28の先端に作用する原子間力によって、クラック表面が、マイクロクラック平面全体とまた接触するようになる傾向があるためである。図1C及び1Dに示すように、マイクロクリスタリン材料では、グレイン境界領域31が、少量の不純物を含有していることが多い。これらは、フラクシング剤として作用して、より急速なフラクシング及びマイクロクラック表面の結果としての修復がなされる。
レーザーアニーリング処理の影響を図3A及び3Bに示す。それぞれ、レーザー処理前後の表面マイクロクラックを含む石英表面の光学顕微鏡画像である。図3Aは、明るいグレイン表面領域間の暗い線に対応する多数のマイクロクラックを有する石英表面を示している。図3Bでは、レーザー処理済み試料の写真であるが、表面マイクロクラックの大半が見えなくなって、平滑で連続した表面が与えられているのが分かる。圧痕マークは、石英試料の中心に人工的に作られたことに注意する。しかしながら、圧痕マークのサイズは、石英材料の表面粗さが元になっているため、図3Aに示す本来の未処理の石英材料は目視されない。しかしながら、部分的に修復された圧痕マークは、図3Bのレーザー処理試料の写真に微かに暗いスポットとして目視される。レーザー処理試料の表面は平滑で、表面マイクロクラックや粗さがないためである。
チャンバコンポーネントの表面マイクロクラックのアニーリングによりまた、アニールされた材料の固さ及び破壊応力が大幅に増大することも分かった。これによって、欠けやクラックに対する抵抗性が大幅に改善されることとなる。硬さ試験では、既知の幾何学形状を有するマイクロ圧子を用いて、試料表面の面に法線に、増大する荷重を印加した。そうしたら、試料の表面が部分的又は完全に弛緩するまで荷重を減じ、圧痕の深さを測定する。荷重を徐々に増加し、硬さが損なわれ、試料にクラックが生じるまで、圧痕及び測定プロセスを繰り返す。式H=Pmax/Aを用いて、ビッカース硬さを計算する。式中、Pmaxは、クラックが生じる前に保持された最大荷重であり、Aは、圧子の接触投影面積である。ナノ硬さ試験機を用いて、硬さを測定した。印加された荷重は、略ナノニュートンであり、変位を差動キャパシタセンサを用いて正確に求めた。元の未処理石英試料及びレーザーアニール石英試料の両方を測定した。未処理試料についての平均ビッカース硬さ指標は約771.68であった。レーザーアニールされた石英試料についてのビッカース硬さ指標の平均は951.68であった。このように、レーザーアニールされた石英試料は、未処理試料よりも少なくとも約10%、より好ましくは、少なくとも約25%硬いビッカース硬さを有していた。
クラック及び欠けに対する抵抗の増大を示す他の測定は、破壊応力測定である。セラミック材料は、その性質が脆いため、引っ張り試験の代わりに、屈曲又は曲げ試験で試験されることが多い。セラミック材料が破壊により使えなくなる応力は、材料の破壊応力又は破壊強度と呼ばれる。未処理及びレーザーアニールされた石英試料の破壊応力を、ASTM C1161−90に従って万能試験機で実施した4点曲げ試験と比較した。破壊時荷重及び試料の断面積を用いて、式σ=荷重/wxtから応力破壊を計算した。式中、wxtは、荷重が印加された断面積である。未処理石英試料の平均破壊応力は、86.23MPaであった。レーザーアニーリング石英試料の平均破壊応力は、132.27MPaであった。このように、レーザーアニールした石英試料の平均破壊応力は、未処理の試料よりも少なくとも約25%、より好ましくは、少なくとも50%高かった。
コンポーネント20の局所表面領域26におけるマイクロクラック28のアニーリングによって、コンポーネント20の表面平滑度、硬さ及び破断強度が大幅に増大し得る。コンポーネント20の表面にマイクロクラック28がない、又は減少すると、コンポーネントの突出部、隅部及び端部等の印加応力を受け易い、又は単に脆弱な領域においては特に、コンポーネント20のクラック及び欠け抵抗性が大幅に増大する。表面アニーリングによって、全コンポーネント20を高温にすることなく、選択した表面領域26の強度を修復し、増大することができ有利である。高温にすると、構造が変形したり、その他熱劣化が生じる恐れがある。しかしながら、全コンポーネントはまた、好適な熱処理によりアニールしてもよい。
コンポーネント20の局所表面領域26におけるマイクロクラック28のアニーリングは、図4に示す典型的な実施形態であるレーザーアニーリング装置50を用いて実施することができる。レーザーアニーリング装置50は、電源55により電力供給されるレーザービーム源54を囲むレーザービーム筺体52を含む。マイクロクラックアニーリングに用いることのできる好適なレーザービーム源54としては、例えば、Ar(アルゴン)、CO及びKrFレーザーが挙げられる。アルゴンレーザーは、約5145オングストロームで可視波長で伝わる。COレーザーは、10.6μmの波長を有する赤外線エネルギー源であり、約10キロワットの電力を有するビームを与えることができる。COレーザーは、アルゴンレーザーより100倍効率がよく、強度が強いため、アルゴンレーザーより速い走査速度と、より大きなスポットサイズが可能となる。COレーザーは、その全内容が本明細書に組み込まれる1972年11月14日発行の米国特許第3,702,973号に記載されている。更に別のタイプのレーザーは、波長約248nm、Eg5.0eV、効率約3%、出力エネルギー350mJを有するKrFエキシマレーザーである。通常、レーザービームは、一般的にはビーム直径約10mm未満、より一般的には約0.5mm〜約4mmの円形ビームである。このように、適切なレーザービームの波長は約190nm〜約10,600nmとすることができる。レーザーは、一般的には、約5ワット〜約10,000ワットの電力レベルで操作される。
レーザー50は、一次焦点58で集束し、二次焦点64まで大きな焦点距離を与えるリフォーカシングミラー62により再結像されるレーザービーム56を生成する。リフォーカシングミラー62と、二次焦点64の間にあるのは、ディザリングミラー68であり、これは、予め選択した周波数でディザリングミラー68を振動させるディザリングドライブモータ72に接続されている。ディザリングドライブモータ72は、ディザリングミラー68を、軸76周囲で振動させる。この軸は、実質的にミラー68の面にあって、ミラーにより集束した入射レーザービーム56を横断している。ディザリングミラー68から出たディザリングされたビームは、図1の図面の面を横断するアークラインを空間的に振動する。
通常、レーザービーム56は、ビーム直径にわたって強度分布を有している。これは、ビームの強度プロフィール又は強度形状とも呼ばれ、レーザー50のタイプに応じて異なる。一般的なビームプロフィール形状は、ガウス形であり、より一般的には、U形強度プロフィールである。レーザービームの集束により、ビームの断面サイズが変わるが、そのビーム強度分布は変わらず、ガウス又はU形のままである。レーザービームのガウス又はU形断面を補正する1つの方法は、レーザービーム56を空間的に振動させるものであり、ディザリングとしても知られている。レーザービーム56の空間的振動は、正弦、鋸歯又は方形波であってよい。レーザービーム56の空間的振動又はディザリングにより、ディザリングビームによりスキャンされた領域全体にわたって、平均して、より均一な強度の放射線が生成される。一実施形態において、レーザービーム56は、その焦点で適切なガウス分布を有し、空間的振動又はディザリングは正弦である。ディザリングは、ディザリングミラー76により生成され、ミラー76の面に平行で、ディザの面を横断する軸76を前後に振動する。通常、ディザリングされたビームは、ディザリングされていないビームの少なくとも2倍広い面積をカバーする。正弦ディザリングについては、局所表面領域に投影されるディザリングビームを横切る各点での平均強度は、中心領域では略平坦で、対向端部にピークがある。ディザリングビームの得られる強度プロフィールは、方形波のような形状であり、隣接して重なっている掃引部で、局所表面領域にわたるスキャニングについて良好な強度プロフィールを与える。しかしながら、正弦波形状等の他のビーム形状も、レーザースキャニング法を適切に補えば、用いることができる。
ディザリングされたビームは、第2の焦点84で、制御可能又は予め定義された固定アパーチャ82を有するビーム幅制御アパーチャ80を通過する。アパーチャ80は、ディザリングミラー76と、スキャニングミラー又はレンズであってよい第2のフォーカシングシステム90の間に配置されている。ミラー68の軸76は、図1の図面の面を横切る、又は平行であってよい。ビームは、スキャニングシステム94により駆動されるスキャニングミラー92上に投影される。スキャニングシステム94は、その軸96上でミラー92を振動して、処理しているチャンバコンポーネントの選択した局所領域100前後で、ビーム56を掃引し、スキャンする。スキャンされたビームは、筺体100の窓102を通過する。スキャニングミラー92の掃引速度は、ディザリングミラー68のディザリング頻度より通常遅い。例えば、約500μmのビーム直径を有する集束したCOレーザーは、約1mm/秒〜約100mm/秒でスキャンされる。
スキャニングシステムは、ステージモータ114により駆動されるX−Y可動ステージ110と通信する。ステージ110はまた、Z又は垂直方向にスライドするよう適合されて、コンポーネントに入射するビーム幅を変更することができる。スキャニングシステム94は、スキャニングミラー92の掃引速度を、ステージ110の動きと、従って、ステージ110にあるチャンバコンポーネントと同調させる。これによって、コンポーネントに入る、ディザリングされてアパーチャを通ったビームを均一にスキャンする。スキャニングパラメータを選択して、スキャニング速度及びパターンを調整することにより、レーザービームの形状を補って、ビームがスキャンされる局所表面領域を均一に加熱する。例えば、ビーム56の強度分布は、ビームの近接場環状特性のために、中心極大当たりにリング、更にはビーム中間にくぼみを含む可能性がある。更に、ビームスキャンは重なって、レーザービームの断面強度における変動を補うのも望ましい。レーザービームが、ビームスキャンを重ねずに、ラスタタイプのスキャンで表面を掃引する場合には、熱処理の深さが、ビームの形状に応じてビームスキャンにより異なる場合がある。
レーザービームアニーリング装置50は、更に、コントローラ118を含む。これは、システムの操作を制御し、レーザ54に電力を供給する電源55、ディザリングドライブモータ72及びスキャニングシステム94に接続されている。また、コントローラ118は、ユーザー入力デバイス122からの入力を許可し、入力パラメータ及びスキャニングシステム情報をディスプレイ122に表示する。コントローラ118は、ディスクドライブにあるランダムアクセスメモリや記憶メモリをはじめとする好適なメモリデバイス、並びにインタフェースカード及びバスに接続された中央演算処理装置(CPU)を有する通常のコンピュータとしてよい。レーザービームアニーリング装置50は、全表面領域にわたって良好な均一性を備えたコンポーネント表面を超えて局所表面領域をレーザーアニーリングすることができる。
マイクロクラックを減少したり、修復するためにアニールされるコンポーネント20は、図5に概略を示すように、基板処理装置200で用いることができる。これを用いて、半導体ウェハやディスプレイ等の基板215を製造する。装置200は、カリフォルニア州、サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.,Santa Clara,California)製のMxP、MxPスーパーE又はeMaxタイプのエッチングチャンバとしてよく、全内容が参考文献としてここに組み込まれる同一出願人に譲渡された米国特許第4、842,683号及び第5,215,619号(チェン(Cehng)ら)及び米国特許第4,668,338号(マイダン(Maydan)ら)に、概して記載されている。同じく、全内容が参考文献としてここに組み込まれる同一出願人に譲渡された米国特許第4,951,601号(マイダン(Maydan)ら)に記載されたように、典型的な装置200は、半導体基板を処理するためのマルチチャンバ集積システムで用いてもよい。
通常、装置200は、プロセスチャンバ225及び補助制御、電気、配管及びサポートコンポーネントを含む。サポート238を含むサポートアセンブリ230が提供されており、基板215をプロセスゾーン235で受ける。サポート238は、電極250を少なくとも部分的にカバーする誘電体45を含み、ガス出口260を有する静電チャック240であってよい。ヘリウム等の熱伝達ガスが、ガス管270を介して、熱伝達ガス源265から、ガス出口260を通過して、基板215の温度を制御する。この代わりに、サポート38は、真空又は機械チャック、或いは、業界で知られているようなその他のサポートであってもよい。電極250は、電極電圧源275により、帯電されて、基板215を静電的に保持する。静電チャック240の下にあるベース280は、任意で、熱伝達流体が循環するチャネル等の熱交換器を含んでいてもよい。
プロセスガスは、ガス供給部285を通して、チャンバ225に導入される。ガス供給部285は、ガス源290と、チャンバ225の端にある1つ以上のガスノズル295とを有している。ガスノズル295は、基板215(図示)の周囲、又はチャンバの天井に据え付けられたシャワーヘッド(図示せず)に配置される。ガスフローコントローラ300を用いて、プロセスガスの流量を制御する。使用済みプロセスガス及び副生成物は、排気システム305を通して、チャンバ225から排気される。排気システム305は、通常、チャンバ225内のガスを排気する粗挽き又は高真空ポンプ等の複数のポンプにつながる排気管を含む。ストットルバルブ310が、排気管に提供されて、チャンバ225中のガス圧を制御する。
例えば、ガス状プラズマ等の励起ガスは、ガス励起器275により、プロセスガスから生成される。ガス励起器275は、RF又はマイクロ波エネルギー等の電磁エネルギーを、チャンバ225のプロセスゾーン235のプロセスガスに結合する。例えば、ガス励起器275は、チャンバの電気接地された側壁又は天井等の第1のプロセス電極315と、誘電体245の電極250であってよい第2の電極とを含む。第1及び第2の電極315、250は、電極電圧源270により与えられるRF電圧により、互いに電気的に付勢されている。電極315、250に印加されたRF電圧の周波数は、一般的に、約50kHz〜約60MHzである。他の場合では、ガス励起器275はまた、この代わりに、RFエネルギーを、チャンバ225に誘導結合するための1つ以上のコイルを含むインダクタアンテナ(図示せず)を含んでいてもよい。容量的に生成されたプラズマは、磁気強化リアクタの電子サイクロトロン共鳴により強化される。このリアクタでは、永久磁石や電磁コイル等の磁界発生器320が、チャンバ225に磁界を与え、基板215の面に平行に回転する軸を有する回転磁界を有している。
チャンバ255はまた、1つ以上のプロセスモニタリングシステム(図示せず)を含んでいて、基板215上で実施されているプロセスをモニターしてもよい。代表的なプロセスモニタリングシステムは、基板215で処理されている層から反射した光の強度を測定する干渉計システム、又はチャンバ225のガス種の発光強度の変化を測定するプラズマ放出分析システムを含む。プロセスモニタリングシステムは、基板215で実施されているプロセスの終点検出に有用である。
リング25等のレーザーアニールされたコンポーネント20は、チャンバ225のサポートアセンブリ230の基板サポート238周囲に取り付けられる。リング25は、サポートアセンブリ230、例えば、静電チャック240の誘電体245を、誘電体245のチャンバ225内の励起プロセスガスとの接触を防ぐことにより、腐食から保護する。或いは、リング25は、サポートアセンブリ230において他の用途を有していてもよい。
図6を参照すると、リング25を囲むカラー210等の追加の構造をレーザーアニールして、表面マイクロクラックを減じることもできる。カラー210は、酸化アルミニウムや酸化ケイ素等のセラミック材料から作成することができる。カラー210は、シールドとして作用し、これは、リングと共に、チャンバの交換可能なプロセスキットを形成する。チャンバ壁ライナ等の他の環形構造もまたレーザーアニールし、チャンバ225のプロセスキットの一部とすることができる。
本発明の典型的な実施形態を示し、説明したが、本発明を組み込んだその他の実施形態を当業者であれば考案し得るものであり、これもまた本発明の範囲に含まれる。例えば、アニールされたチャンバコンポーネント20は、チャンバ225の天井や壁等のチャンバコンポーネントとすることができる。また、表面アニーリングの変形方法を用いることもできる。更に、典型的な実施形態に関連して示した相対的又は位置についての用語は置き換え可能である。従って、特許請求の範囲は本発明を説明するために本願に記載した好ましい態様、材料又は空間配置の記載に限定されるものではない。
本発明のこれらの特徴、態様及び利点は、本発明の例を示す以下の説明、添付の特許請求の範囲及び添付図面により、更に理解できる。しかしながら、各特徴は、特定の図面との関係のみならず、本発明の概要に用いることができ、本発明は、これらの特徴の組み合わせを含むものと考えられる。
ガラス表面のマイクロクラックを示す、ガラス製チャンバコンポーネントの概略断面図である。 表面マイクロクラックをアニールする局所レーザー処理後の図1Aのチャンバコンポーネントの概略断面図である。 石英のグレインとグレイン境界領域に沿ったマイクロクラックを示す、石英製チャンバコンポーネントの概略断面図である。 表面マイクロクラックをアニールする局所レーザー処理後の図2Aのチャンバコンポーネントの概略断面図である。 レーザーアニールされた表面を有する石英の斜視図である。 レーザー処理前後の表面マイクロクラックのある石英鏡面の光学顕微鏡画像である。 チャンバコンポーネントの局所表面アニールに好適なレーザーアニール装置の概略図である。 図2のリングを用いる基板処理チャンバの概略断面図である。 図5のチャンバに図2のリングを用いるサポートアセンブリの概略部分断面図である。

Claims (12)

  1. 基板処理チャンバコンポーネントであって、アニールされたマイクロクラックを備えた局所表面領域を含む構造体を有し、前記アニールされたマイクロクラックによって、クラック伝播が減じ、破壊抵抗が増大する基板処理チャンバコンポーネント。
  2. レーザーアニールされたマイクロクラックを備えた局所表面領域を含む請求項1記載のコンポーネント。
  3. COレーザーアニールされたマイクロクラックを備えた局所表面領域を含む請求項1記載のコンポーネント。
  4. 前記構造体が、内側軸周囲で回転対称である請求項1記載のコンポーネント。
  5. 前記構造体が、セラミック、ガラス又はガラスセラミックでできている請求項1記載のコンポーネント。
  6. 前記構造体が石英を含む請求項1記載のコンポーネント。
  7. 前記局所表面領域が、前記構造体の表面又は端部である請求項1記載のコンポーネント。
  8. 前記構造体が、(i)未処理の構造体よりも少なくとも約10%大きい平均ビッカース硬さ、又は(ii)前記未処理の構造体よりも少なくとも約25%大きい平均破壊応力のうち少なくとも1つを含む請求項1記載のコンポーネント。
  9. 前記構造体が、リング、プレート又はシリンダを含む請求項1記載のコンポーネント。
  10. 基板処理チャンバコンポーネントを製造する方法において、
    (a)構造体を有するコンポーネントを形成する工程と、
    (b)局所表面領域のマイクロクラックをアニールするのに十分な時間にわたって、前記コンポーネントの前記局所表面領域に、レーザービームを向ける工程とを含み、
    アニールされたマイクロクラックによって、クラック伝播が減じ、破壊抵抗が増大する、基板処理チャンバコンポーネントを製造する方法。
  11. (i)前記局所表面領域にわたって前記レーザービームをスキャニングする工程と、
    (ii)COレーザーによりレーザービームを生成する工程とのうち少なくとも1つを含む請求項10記載の方法。
  12. (i)約190nm〜約10,600nmの波長、又は
    (ii)約5ワット〜約10,000ワットの電力レベル、
    のうち少なくとも1つの特性を有するレーザービームを向ける工程を含む請求項10記載の方法。
JP2008521576A 2005-07-13 2006-07-12 基板処理チャンバ用コンポーネントの局所表面アニーリング Pending JP2009501452A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/181,041 US8617672B2 (en) 2005-07-13 2005-07-13 Localized surface annealing of components for substrate processing chambers
PCT/US2006/027078 WO2007008999A2 (en) 2005-07-13 2006-07-12 Localized surface annealing of components for substrate processing chambers

Publications (1)

Publication Number Publication Date
JP2009501452A true JP2009501452A (ja) 2009-01-15

Family

ID=37637934

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008521576A Pending JP2009501452A (ja) 2005-07-13 2006-07-12 基板処理チャンバ用コンポーネントの局所表面アニーリング

Country Status (7)

Country Link
US (2) US8617672B2 (ja)
EP (1) EP1902003B1 (ja)
JP (1) JP2009501452A (ja)
KR (1) KR101278217B1 (ja)
CN (1) CN101218191B (ja)
TW (1) TWI417961B (ja)
WO (1) WO2007008999A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017137237A (ja) * 2016-02-04 2017-08-10 ショット アクチエンゲゼルシャフトSchott AG 基板の厚みを制御する方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
TWI351057B (en) * 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8291728B2 (en) * 2009-02-27 2012-10-23 Corning Incorporated Method for the joining of low expansion glass
JP2015505792A (ja) * 2011-11-02 2015-02-26 フエロ コーポレーション 低融点ガラス系を用いた無機基材のマイクロ波シーリング
KR101991405B1 (ko) * 2012-09-19 2019-06-20 삼성전자주식회사 빔 형상기, 이를 구비하는 레이저 어닐링 시스템 및 이 시스템을 이용하여 반사형 포토 마스크를 제작하는 방법
US9142465B1 (en) 2013-03-13 2015-09-22 Sandia Corporation Precise annealing of focal plane arrays for optical detection
TWD169790S (zh) * 2013-07-10 2015-08-11 日立國際電氣股份有限公司 基板處理裝置用氣化器之部分
US9653341B2 (en) * 2014-03-05 2017-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10126061B1 (en) * 2014-08-28 2018-11-13 Apple Inc. Localized strengthening of features for a sapphire component
US10422028B2 (en) * 2015-12-07 2019-09-24 Lam Research Corporation Surface coating treatment
JP6937753B2 (ja) * 2015-12-07 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 融合されたカバーリング
US10246342B2 (en) 2016-03-31 2019-04-02 Tronox Llc Centrifugal aluminum chloride generator
US10434604B2 (en) 2016-10-14 2019-10-08 Applied Materials, Inc. Texturizing a surface without bead blasting
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US10593602B2 (en) * 2018-04-27 2020-03-17 Semiconductor Components Industries, Llc Semiconductor substrate crack mitigation systems and related methods
JP1638504S (ja) * 2018-12-06 2019-08-05
CN112195317B (zh) * 2020-10-15 2022-04-15 温州大学 一种异构结构高熵合金的冷轧复合激光表面退火工艺方法
US11955361B2 (en) 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61155279A (ja) * 1984-12-27 1986-07-14 住友電気工業株式会社 セラミツクスの製造方法
JPS61242974A (ja) * 1985-04-19 1986-10-29 株式会社日立製作所 薄膜改質方法
JPS63123883A (ja) * 1986-10-16 1988-05-27 コンパニイ・ジエネラル・デレクトリシテ レーザを用いたセラミックス製品の表面処理方法
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
JPH11219939A (ja) * 1998-02-04 1999-08-10 Tokyo Electron Ltd 基板載置台表面保護板、処理室内部のクリーニング方法及び基板載置台のクリーニング方法
JP2002187736A (ja) * 2000-12-19 2002-07-05 Shinetsu Quartz Prod Co Ltd プラズマ耐食性石英ガラス部材及びその製造方法
JP2005134680A (ja) * 2003-10-31 2005-05-26 Mitsubishi Electric Corp 反射ミラー、導光光学系システム、レーザ加工機、および反射ミラーの製造方法

Family Cites Families (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3322848A (en) * 1962-08-20 1967-05-30 Mobil Oil Corp Production of lubricating oils
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
GB1358061A (en) * 1971-05-21 1974-06-26 Glaverbel Methods of strengthening glass articles
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
US3848104A (en) 1973-04-09 1974-11-12 Avco Everett Res Lab Inc Apparatus for heat treating a surface
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
JPS5827652Y2 (ja) 1978-05-02 1983-06-15 日本軽金属株式会社 海苔養殖用アルミニウム合金製ポ−ル
JPS54162969U (ja) 1978-05-04 1979-11-14
US4218330A (en) * 1978-06-26 1980-08-19 Ethyl Corporation Lubricant
DE2862217D1 (en) 1978-10-30 1983-05-05 Spanstaal Method and device for manufacturing a road surface, a runway or the like
US4386229A (en) * 1980-03-21 1983-05-31 Phillips Petroleum Company Olefin dimerization
US4430360A (en) 1981-03-11 1984-02-07 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of fabricating an abradable gas path seal
US4358659A (en) 1981-07-13 1982-11-09 Mostek Corporation Method and apparatus for focusing a laser beam on an integrated circuit
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
FR2551056B1 (fr) * 1983-08-25 1985-11-29 Inst Francais Du Petrole Nouveau procede de fabrication d'olefine lineaire a partir d'acide gras ou d'ester d'acide gras sature
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS60185786U (ja) 1984-05-22 1985-12-09 日立金属株式会社 防食継手
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
JPS61256663A (ja) * 1985-05-09 1986-11-14 Agency Of Ind Science & Technol 半導体装置
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (ja) 1986-09-18 1989-07-31 Grob Ernst Fa
JPS63235435A (ja) 1987-03-24 1988-09-30 Nishimura Watanabe Chiyuushiyutsu Kenkyusho:Kk 金属タンタルの製造方法
JPS63149396U (ja) 1987-03-24 1988-09-30
US5035787A (en) 1987-07-22 1991-07-30 Microbeam, Inc. Method for repairing semiconductor masks and reticles
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
DE68909665T2 (de) 1988-04-26 1994-02-10 Toto Ltd Verfahren zur Herstellung dielektrischer Keramik für elektrostatische Haltevorrichtungen.
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
DE69030140T2 (de) 1989-06-28 1997-09-04 Canon Kk Verfahren und Anordnung zur kontinuierlichen Bildung einer durch Mikrowellen-Plasma-CVD niedergeschlagenen grossflächigen Dünnschicht
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US4967032A (en) * 1989-09-05 1990-10-30 Mobil Oil Corporation Process for improving thermal stability of synthetic lubes
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JPH03138354A (ja) 1989-10-24 1991-06-12 Pioneer Electron Corp 防着板を備えた薄膜形成装置
EP0439000B1 (en) 1990-01-25 1994-09-14 Applied Materials, Inc. Electrostatic clamp and method
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
DE69130205T2 (de) 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5242706A (en) * 1991-07-31 1993-09-07 The United States Of America As Represented By The Secretary Of The Navy Laser-deposited biocompatible films and methods and apparatuses for producing same
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
EP0547609B1 (en) 1991-12-18 1997-09-10 Sumitomo Metal Industries, Ltd. Automobile body panel made of multilayer plated aluminum sheet
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JP3566740B2 (ja) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3323924B2 (ja) 1993-01-29 2002-09-09 東京エレクトロン株式会社 静電チャック
US5427825A (en) 1993-02-09 1995-06-27 Rutgers, The State University Localized surface glazing of ceramic articles
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
DE69413613T2 (de) 1993-07-16 1999-03-18 Toshiba Kawasaki Kk Metalloxid-Widerstand, Leistungswiderstand und Leistungsschalter
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
EP0737133B1 (de) 1993-12-27 1998-07-29 Hoechst Aktiengesellschaft Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
JPH07197272A (ja) 1993-12-29 1995-08-01 Kobe Steel Ltd フィルム密着性に優れた表面処理アルミニウム及びアルミニウム合金板
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
JPH08507196A (ja) 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
JP4108119B2 (ja) 1994-02-23 2008-06-25 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5453556A (en) * 1994-06-22 1995-09-26 Mobil Oil Corporation Oligomerization process for producing synthetic lubricants
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
US5597944A (en) * 1994-11-07 1997-01-28 Texaco Inc. Dehydrogenation of N-paraffin to N-olefin employing manganese oxide octahedral molecular sieve as catalyst
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
DE19513207A1 (de) * 1995-04-11 1996-10-17 Metallgesellschaft Ag Verfahren zum Erzeugen von Wachsester und Hydrieren des Wachsesters zu Fettalkohol
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US6683224B1 (en) * 1995-05-03 2004-01-27 Cognis Deutschland Gmbh & Co. Kg Process for the production of fatty alcohols
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5690795A (en) 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH0917850A (ja) 1995-06-30 1997-01-17 Tokyo Electron Ltd プラズマ処理装置
GB2318590B (en) 1995-07-10 1999-04-14 Cvc Products Inc Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5658442A (en) 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5901751A (en) 1996-03-08 1999-05-11 Applied Materials, Inc. Restrictor shield having a variable effective throughout area
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
JPH09272965A (ja) 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
JP3175835B2 (ja) 1996-05-09 2001-06-11 アプライド マテリアルズ, インコーポレイテッド プラズマ発生用埋込み形コイル
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5810931A (en) 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
US6251720B1 (en) 1996-09-27 2001-06-26 Randhir P. S. Thakur High pressure reoxidation/anneal of high dielectric constant materials
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6036587A (en) 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6338781B1 (en) 1996-12-21 2002-01-15 Singulus Technologies Ag Magnetron sputtering cathode with magnet disposed between two yoke plates
WO1998031845A1 (en) 1997-01-16 1998-07-23 Bottomfield, Layne, F. Vapor deposition components and corresponding methods
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5893643A (en) 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
US6103069A (en) 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US6000415A (en) 1997-05-12 1999-12-14 Applied Materials, Inc. Method and apparatus for positioning a restrictor shield of a pump in response to an electric signal
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6589407B1 (en) 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield
JP3449459B2 (ja) 1997-06-02 2003-09-22 株式会社ジャパンエナジー 薄膜形成装置用部材の製造方法および該装置用部材
JP4023893B2 (ja) 1997-06-06 2007-12-19 沖電気工業株式会社 発光素子アレイ及び発光素子
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
JP3269993B2 (ja) 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
FR2768158B1 (fr) 1997-09-10 2001-06-01 Seb Sa Revetement de couche antiadherent a durete amelioree pour support en aluminium, articles et ustensiles culinaires comportant ce revetement
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5922133A (en) 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
WO1999014788A1 (en) 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
DE19857314A1 (de) * 1997-12-12 2000-02-03 Sec Dep Of Science And Technol Stark saurer mensoporöser synergistischer Festkörper-Katalysator und Verwendung desselben
DE69834838T2 (de) 1997-12-22 2007-05-16 Asahi Kasei Kogyo K.K. Fasern für elektrische beflockung und elektrisch beflockte artikeln
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3540936B2 (ja) 1998-03-31 2004-07-07 京セラ株式会社 真空容器
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
JP2002529594A (ja) 1998-10-29 2002-09-10 アプライド マテリアルズ インコーポレイテッド 半導体ウエハ処理システムにおいて加工物を貫通して電力を結合する装置
JP2000144399A (ja) 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
US6365010B1 (en) 1998-11-06 2002-04-02 Scivac Sputtering apparatus and process for high rate coatings
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
KR100694554B1 (ko) 1999-03-25 2007-03-13 아사히 가라스 가부시키가이샤 광학부재용 합성석영유리와 그 제조방법 및 사용방법
KR20010014842A (ko) 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
US6346352B1 (en) 2000-02-25 2002-02-12 International Business Machines Corporation Quartz defect removal utilizing gallium staining and femtosecond ablation
TW503442B (en) 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6703356B1 (en) * 2000-03-23 2004-03-09 Exxonmobil Research And Engineering Company Synthetic hydrocarbon fluids
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
WO2001078820A1 (fr) * 2000-04-18 2001-10-25 Teijin Limited Appareil de concentration d'oxygene
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
WO2001084624A2 (en) 2000-04-28 2001-11-08 Applied Materials, Inc. Semiconductor lift pin for dechucking substrates
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
AU2001255373A1 (en) 2000-05-04 2001-11-12 Etec Systems, Inc. Method and apparatus for imaging a specimen using indirect in-column detection of secondary electrons in a microcolumn
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
US6484794B1 (en) 2000-07-06 2002-11-26 Edward R. Schulak Energy transfer system for cold storage facilities
US6358376B1 (en) 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
TW495863B (en) 2000-08-11 2002-07-21 Chem Trace Inc System and method for cleaning semiconductor fabrication equipment
WO2002014571A2 (en) 2000-08-17 2002-02-21 Tosoh Smd, Inc. High purity sputter targets with target end-of-life indication and method of manufacture
US6497797B1 (en) 2000-08-21 2002-12-24 Honeywell International Inc. Methods of forming sputtering targets, and sputtering targets formed thereby
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
EP1322444A4 (en) 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6503331B1 (en) 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1312695B1 (en) 2000-11-17 2009-07-29 Nippon Mining & Metals Co., Ltd. Sputtering target producing few particles, backing plate provided with the target, and a method of producing the target
WO2002042518A1 (de) 2000-11-27 2002-05-30 Unaxis Trading Ag Target mit dickenprofilierung für rf manetron
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
WO2002049785A1 (en) 2000-12-18 2002-06-27 Tosoh Smd, Inc. Low temperature sputter target/backing plate joining technique and assemblies made thereby
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6872284B2 (en) 2001-04-24 2005-03-29 Tosoh Smd, Inc. Target and method of optimizing target profile
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
CN1608141A (zh) 2001-09-17 2005-04-20 黑罗伊斯有限公司 废弃溅射靶的修复
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6667577B2 (en) 2001-12-18 2003-12-23 Applied Materials, Inc Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
AU2003236328A1 (en) 2002-04-03 2003-10-13 Toho Engineering Kabushiki Kaisha Polishing pad and semiconductor substrate manufacturing method using the polishing pad
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US20030221702A1 (en) 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
CN1565045A (zh) 2002-07-26 2005-01-12 应用材料公司 旋转-清洗-干燥器用的亲水部件
US6846396B2 (en) 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
ATE425277T1 (de) 2002-10-21 2009-03-15 Cabot Corp Verfahren zur herstellung eines sputtertargets und sputtertarget
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
WO2004094702A2 (en) 2003-04-18 2004-11-04 Applied Materials, Inc. Multi-chemistry plating system
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7297247B2 (en) 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US20040249229A1 (en) * 2003-06-06 2004-12-09 Gee Jeffery C. Isomerization of olefins with carboxylic acid
US7097744B2 (en) 2003-06-12 2006-08-29 Applied Materials, Inc. Method and apparatus for controlling darkspace gap in a chamber
EP1639620A2 (en) 2003-06-20 2006-03-29 Cabot Corporation Method and design for sputter target attachment to a backing plate
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7294224B2 (en) 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
EP1711646A4 (en) 2004-02-03 2008-05-28 Honeywell Int Inc TARGET STRUCTURES FOR VAPOR PHYSICAL DEPOSITION
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7049612B2 (en) 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060021870A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
ATE546561T1 (de) 2004-11-19 2012-03-15 Applied Materials Gmbh & Co Kg Trägerplatte mit einer darauf aufgesetzten gekühlten rückenplatte
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060182681A1 (en) * 2004-12-28 2006-08-17 Fortum Oyj Catalytic materials and method for the preparation thereof
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
JP2007247061A (ja) 2006-03-14 2007-09-27 Applied Materials Inc スパッタリング前のスパッタリングターゲットの前調整
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
KR101504085B1 (ko) 2006-12-19 2015-03-19 어플라이드 머티어리얼스, 인코포레이티드 비접촉 프로세스 키트
US8221602B2 (en) 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP2011503349A (ja) 2007-11-08 2011-01-27 アプライド マテリアルズ インコーポレイテッド 可動性シールドを備えた電極構成

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61155279A (ja) * 1984-12-27 1986-07-14 住友電気工業株式会社 セラミツクスの製造方法
JPS61242974A (ja) * 1985-04-19 1986-10-29 株式会社日立製作所 薄膜改質方法
JPS63123883A (ja) * 1986-10-16 1988-05-27 コンパニイ・ジエネラル・デレクトリシテ レーザを用いたセラミックス製品の表面処理方法
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
JPH11219939A (ja) * 1998-02-04 1999-08-10 Tokyo Electron Ltd 基板載置台表面保護板、処理室内部のクリーニング方法及び基板載置台のクリーニング方法
JP2002187736A (ja) * 2000-12-19 2002-07-05 Shinetsu Quartz Prod Co Ltd プラズマ耐食性石英ガラス部材及びその製造方法
JP2005134680A (ja) * 2003-10-31 2005-05-26 Mitsubishi Electric Corp 反射ミラー、導光光学系システム、レーザ加工機、および反射ミラーの製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017137237A (ja) * 2016-02-04 2017-08-10 ショット アクチエンゲゼルシャフトSchott AG 基板の厚みを制御する方法

Also Published As

Publication number Publication date
KR20080033181A (ko) 2008-04-16
CN101218191A (zh) 2008-07-09
EP1902003A2 (en) 2008-03-26
US20140167327A1 (en) 2014-06-19
US9481608B2 (en) 2016-11-01
CN101218191B (zh) 2011-12-28
TWI417961B (zh) 2013-12-01
TW200710998A (en) 2007-03-16
KR101278217B1 (ko) 2013-06-24
EP1902003B1 (en) 2018-04-25
WO2007008999A2 (en) 2007-01-18
US20070014949A1 (en) 2007-01-18
US8617672B2 (en) 2013-12-31
WO2007008999A3 (en) 2007-04-26

Similar Documents

Publication Publication Date Title
JP2009501452A (ja) 基板処理チャンバ用コンポーネントの局所表面アニーリング
TW418423B (en) Chamber having improved process monitoring window
JP2010147028A (ja) 損傷の無い表面の造形のための大気圧反応性原子プラズマ加工装置及び方法
JP2007247061A (ja) スパッタリング前のスパッタリングターゲットの前調整
JP2004518527A (ja) 表面改質のための大気圧反応性原子プラズマ加工装置及び方法
TWI752925B (zh) 表面塗佈處理
JP2012245565A (ja) 半導体プロセスチャンバ内において使用するための物品
KR20170118466A (ko) 포커스 링 조립체 및 이를 이용한 기판 처리 방법
JP2022173242A (ja) プラズマ処理装置及び部品
CN111902917A (zh) 对用于半导体器件制造的处理部件的陶瓷表面进行激光抛光
CN101265580A (zh) 溅射之前溅射靶的预处理
KR102487262B1 (ko) 재료 벽개에서의 제어된 균열 전파를 위한 입사 방사선 유발 표면하부 손상
JP2004526293A (ja) チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
TWI822191B (zh) 一種等離子體處置裝置用構件的翻新裝置及翻新方法
JP5536311B2 (ja) 半導体ウェーハの平坦化方法、及び半導体ウェーハの製造方法
JP2010232220A (ja) 載置台構造、この製造方法及び処理装置
JP2022087008A (ja) 基板処理装置及び基板処理方法
JP2014150284A (ja) 局所プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111013

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120710

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121010

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121017

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121207

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130409