KR20080033181A - 기판 처리 챔버를 위한 구성요소의 국부 표면 어닐링 - Google Patents

기판 처리 챔버를 위한 구성요소의 국부 표면 어닐링 Download PDF

Info

Publication number
KR20080033181A
KR20080033181A KR1020077030395A KR20077030395A KR20080033181A KR 20080033181 A KR20080033181 A KR 20080033181A KR 1020077030395 A KR1020077030395 A KR 1020077030395A KR 20077030395 A KR20077030395 A KR 20077030395A KR 20080033181 A KR20080033181 A KR 20080033181A
Authority
KR
South Korea
Prior art keywords
substrate processing
component
microcracks
processing chamber
laser
Prior art date
Application number
KR1020077030395A
Other languages
English (en)
Other versions
KR101278217B1 (ko
Inventor
아쉬스 브핫나가르
락스맨 머루게시
파드마 고파라크리쉬난
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080033181A publication Critical patent/KR20080033181A/ko
Application granted granted Critical
Publication of KR101278217B1 publication Critical patent/KR101278217B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0036Laser treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B25/00Annealing glass products
    • C03B25/02Annealing glass products in a discontinuous way
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]

Abstract

기판 처리 챔버 구성요소가 어닐링된 마이크로크랙을 구비한 국부 표면 영역을 갖는 구조적 바디를 포함한다. 어닐링된 마이크로크랙은 크랙 전파를 감소시키고 파괴 저항을 증가시킨다. 제조하기 위한 한가지 방법에서, 구성요소의 구조적 바디가 종래 기술에 의해 형성되고, 레이저빔은 표면 마이크로크랙을 어닐링하기에 충분한 시간 동안 구성요소의 국부 표면 영역 상에 지향된다.

Description

기판 처리 챔버를 위한 구성요소의 국부 표면 어닐링{LOCALIZED SURFACE ANNEALING OF COMPONENTS FOR SUBSTRATE PROCESSING CHAMBERS}
본 발명은 기판 처리 챔버를 위한 구성요소에 관한 것이다.
기판 처리 챔버는 예를 들어 반도체 웨이퍼 또는 디스플레이와 같은 기판의 에너지화된 처리 가스 내에서의 처리를 위해 사용된다. 처리 챔버는 전형적으로 가스가 주입되고 에너지화되는 처리 구역을 둘러싸는 인클로져 벽체(enclosure wall)를 포함한다. 챔버는 물리적 또는 화학적 증착에 의해 기판 상에 물질을 증착하고, 기판으로부터 물질을 에칭하고, 기판 상에 물질을 주입하고, 또는 층을 산화하고 질화물을 형성하는 것과 같이 기판층을 전환하도록 사용될 수 있다. 챔버는 전형적으로 기판 지지체, 가스 분배기, 가스 에너자이저(gas energizer), 및 상이한 형태의 라이너(liner) 및 쉴드(shield)와 같은 다수의 내측 챔버 구성요소를 갖는다. 예를 들어, 라이너 및 쉴드는 기판을 둘러싸는 실린더형 부재일 수 있어서, 기판 둘레로 플라스마를 향하게 하고 이를 포함하는 포커스링(focus ring)으로서 작용할 수 있으며, 이는 기판, 기판 쉴드 또는 챔버 벽체 라이너의 일부 또는 구성요소 아래에 놓인 증착을 방지한다.
세라믹 물질이 내부 챔버 구성요소, 특히 에너지화된 가스 또는 플라스마에 노출되는, 결과적으로 고온 및 부식을 받기 쉬운 구성요소를 형성하도록 종종 사용된다. 알루미나 및 실리카와 같은 세라믹 물질은 결정질이며, 여기에서 실리카 유리는 장거리 정렬(long range order)을 갖지 않는다. 세라믹은 전형적으로 에너지화된 가스에 의한 부식에 양호한 저항을 만족하지만 결과적으로 금속 합금체와 같이 종종 교체되지 않아야 한다. 또한, 세라믹 구성요소는 챔버 내의 입자 생성을 감소시키며, 이는 구성요소 부식의 결과이다. 또한, 세라믹 구성요소는 열적 저하 없이 고온을 견딜 수 있다. 석영 구성요소는 특히 플라스마 포함 플루오르 물질과 같은 다른 금속을 파괴하는 플라스마에 유용하다.
그러나 세라믹 물질은 구성요소의 교체 또는 세정 취급 동안 또는 챔버 내에서 사용되면서 깨짐 오류(brittle failure) 모드에 쉽게 이르며 크랙(crack)되고 벗겨진다(chip). 비정질(amorphous) 물질 및 미세-결정질(microsrystalline) 물질이 크랙 전파를 통해 깨짐 오류에 특히 쉽게 이를 수 있다. 유리와 같은 비정질 물질에서는, 유리가 장거리 정렬이 아닌 단거리 정렬(short-range order)을 갖기 때문에 표면 마이크로크랙(microcrack)이 원자 레벨 상에서 전파된다. 석영과 같은 미세-결정질 물질은 표면에서 그레인(grain)을 가지며, 그 표면은 하나의 그레인을 통할 수 있는 알갱이와 같은 마이크로크랙을 가질 수 있으며, 알갱이와 같은 마이크로크랙이 그레인 둘레로 그레인 경계를 따라 연장되며 또한 알갱이와 같은 마이크로크랙이 인접한 그레인을 가로질러 커팅된다. 이 중, 석영과 같은 미세-결 정질 그레인 둘레로 연장되는 알갱이와 같은 마이크로크랙이 일반적으로 크랙 전파에 가장 취약하며 종종 구성요소의 크랙 및 벗겨짐에 이르게 한다.
따라서, 벗겨짐 및 크랙 감소를 만족하는 미세-결정질 또는 비정질 세라믹으로 이루어진 세라믹 구성요소를 갖는 것이 바람직하다. 또한, 사용 도중 낮은 오류 비율을 구비한 세라믹 구성요소를 제조하는 것이 바람직하다. 또한, 세라믹 구성요소가 과도한 부식 또는 열적 저하 없이 챔버 내에서 에너지화된 가스 환경을 견딜 수 있는 것이 바람직하다.
기판 처리 챔버 구성요소가 어닐링된 마이크로크랙을 구비한 국부 표면 영역(localized surface region)을 갖는 구조적 바디(structural body)를 포함한다. 어닐링된 마이크로크랙은 크랙 전파를 감소시키고 파괴 저항을 증가시킨다. 구성요소의 구조적 바디가 형성되고, 레이저빔은 표면 마이크로크랙을 어닐링하기에 충분한 시간 동안 구성요소의 국부 표면 영역 상에 지향된다. 이는, 물질 강도를 증진시키고 구성요소 수명을 증가시킨다. 적합한 레이저는 CO2 및 아르곤 레이저를 포함한다. 구조적 바디는 결정질 세라믹(crystalline ceramic), 유리, 유리-세라믹 물질로 이루어질 수 있으며, 예를 들어 바디는 석영으로 이루어진 링일 수 있다.
본 발명의 특징, 양상 및 장점은 후술할 본 발명의 설명, 첨부된 청구 범위 및 첨부된 도면을 통하여 예시적인 방법으로 보다 이해하기 용이할 것이다. 그러나 각각의 특징들은 본 발명에서 일반적으로 사용되는 것으로서 단지 특정 도면에 한정되지 않으며, 본 발명은 이러한 특징들의 조합 역시 포함함을 주지하여야 한다.
도 1a는, 유리로 이루어진 챔버 구성요소의 개략적인 단면도로서, 유리 표면 내에 마이크로크랙을 도시한다.
도 1b는, 표면 마이크로크랙을 어닐링하도록 국부적 레이저 처리된 이후의 도 1a의 챔버 구성요소의 개략적인 단면도이다.
도 1c는, 석영으로 이루어진 챔버 구성요소의 개략적인 단면도로서, 그레인 및 석영 그레인 경계 영역을 따라 마이크로크랙을 도시한다.
도 1d는, 표면 마이크로크랙을 어닐링하도록 국부적 레이저 처리된 이후의 도 1c의 챔버 구성요소의 개략적인 단면도이다.
도 2는, 레이저 어닐링된 표면을 갖는 석영링의 사시도이다.
도 3a 및 3b는 각각, 레이저 처리 전후의 표면 마이크로크랙을 구비한 석영 표면의 광학적 마이크로카피 이미지이다.
도 4는, 챔버 구성요소의 국부 표면 어닐링에 적합한 레이저 어닐링 기기의 개략적인 도면이다.
도 5는, 도 2의 링을 사용하는 기판 처리 챔버의 개략적인 단면도이다.
도 6은, 도 5의 챔버 내에서 도 2의 링을 사용하는 기판 조립체의 개략적인 부분 측면 단면도이다.
기판 처리 챔버 구성요소(20)는, 도 1a 내지 1d에 도시된 바와 같이 마이크로크랙(28)을 갖는 국부 표면 영역(26)을 구비한 구조적 바디(24)를 포함한다. 챔버 구성요소(20)는 예를 들어, 석영, 실리카 유리, 산화 알루미늄, 산화 티타늄, 질화 규소, 산화 지르코늄, 및 기타 다른 물질과 같이 세라믹, 유리, 또는 유리 세라믹 물질로 이루어질 수 있다. 표면 마이크로크랙(28)은, 구성요소의 제조 동안 또는 그 이후 구성요소 표면(26)을 가격하고 마모시키는 미세 먼지 또는 기타 마모 물질에 의해 야기된다. 도 1a는 유리로 이루어진 구성요소(20)를 도시하며, 이는 비정질이며 단거리 원자 정렬(short range atomic order)을 가지며 장거리 원자 정렬(long range atomic order)이 아니다. 예를 들어, 실리카 유리는 고정된 실리콘 및 산소 결합각의 개별적인 실리카 사면체로서 단거리 정렬이지만, 실리카 사면체는 무작위 결합각으로 상호 연결될 수 있다. 유리에서, 마이크로크랙은 매우 미세하며 원자 결합을 종결시킨다. 도 1c에 도시되는 바와 같은 미세-결정질 세라믹 물질은 마이크로 치수 단위의 미세 그레인(29)을 구비한 중합-결정질(polycrystalline)이다. 미세-결정질 물질에서, 마이크로크랙(28)은 전형적으로 미세 그레인(29) 둘레로 또는 그레인 경계(31)를 따라서 연장되지만, 단일 또는 인접한 그레인(29) 너머 커팅될 수 있다. 구성요소(20) 상의 표면 마이크로크랙(28) 은, 마이크로크랙(28)의 팁 상에 집중되는 힘을 인가시키는 응력 집중부(stress concentrator)로서 작용한다. 마이크로크랙(28)의 치수가 매우 작기 때문에, 유리 물질 내의 구성요소 물질의 원자들 사이의 원자 결합 레벨에서, 그리고 미세-결정질 물질 내의 마이크로 크기 그레인 레벨에서, 인가되는 응력이 크랙 팁에 있어서 매우 증진된다. 이는, 구성요소(20)의 일부가 손쉽게 크랙되거나 또는 매우 작은 힘 또는 충격이 적용되어도 벗겨지는 빠른 오류 모드를 야기한다.
일 실시예에서, 구성요소(20)는 도 2에 개략적으로 도시된 바와 같은 링(25) 형태의 구조적 바디(24)를 포함한다. 링(25)은 마이크로크랙(28)을 갖는 표면(26)을 포함한다. 링(25)은 내측 측벽체(28) 및 내측 측벽체(30)를 구비한 링형이다. 내측 측벽체(28)는 내부축(34)에 면하며, 그 둘레로 구조적 바디는 회전 대칭이다. 링(25)은 챔버 내의 기판 또는 챔버 구성요소, 처리 챔버의 섹션을 보호하거나 또는 합치되는 형태이다. 예를 들어, 구성요소(20)는, 챔버 내에 처리되는 기판 둘레로 맞추어지도록 크기가 결정된 실린더형 부재인 쉴드 또는 라이너일 수 있다. 쉴드(20)는 기판을 둘러싸는 석영링일 수 있다. 또한, 구성 요소(20)는 증착링, 쉐도우링(shadow ring), 또는 커버링(cover ring)일 수 있다. 다른 챔버 구성요소가 챔버 벽체 라이너를 포함할 수 있다.
구성요소(20)의 구조적 바디(24) 상의 표면 마이크로크랙(28)은 어닐링되어 도 1b 및 1d에 도시된 바와 같이 마이크로크랙을 폐쇄하고 치유하여, 구성요소(20)의 크랙 전파를 감소시키고 파괴 저항을 증가시킨다. 일 실시예에서, 마이크로크랙(28) 주변 영역(26)이 연화되고 마이크로크랙(28)을 치유하기에 충분한 시간 및 충분히 높은 강도로서 레이저빔이 구성요소(20)의 국부 표면 영역 상에서 지향된다. 레이저빔은 구성요소(20)의 국부 표면 영역(26)을 선택적으로 가열하도록 사용된다. 국부 표면 영역(26)은 사용 동안 파괴되는 경향이 있으며, 또는 제조 동안 과도한 마이크로크랙을 가져서, 예를 들어 구성요소 제조 취급 동안 인가된 외부 힘으로부터 그라인딩(grinding)되고 마모되기 쉬운 영역이다. 따라서, 국부 표면 영역은 링(25)의 평평한 상부 펴면 상에 있을 수 있다. 또한, 국부 표면 영역(26)은 취급 및 사용 동안 인가되는 응력에 보다 민감한 구성요소(20)의 이러한 영역을 포함할 수 있다. 예를 들어, 챔버(20) 내에서 사용되는 석영링(25)의 에지(36)는, 링(25)이 미리 정해진 회수 만큼의 처리 사이클 동안 사용된 이후 세정 또는 교체를 위해 제거된 경우 손쉽게 벗겨지거나 또는 크랙된다. 에지(36)는 코너를 더 포함할 수 있으며, 사용시에 종종 용이하게 벗겨지거나 크랙된다. 따라서, 석영링의 영역(26)의 파괴 강도를 증가시키는 것은 그 처리 수명을 매우 증가시킨다.
초점 거리, 빔 형태 및 빔 지름과 같은 레이저빔의 에너지 및 빔 특성은, 표면 마이크로크랙(28)을 어닐링하는데 필요한 치유 온도로서, 마이크로크랙 상에서 구성요소(20)의 국부 표면 영역의 좁은 일부를 선택적으로 가열하도록 제어될 수 있다. 일 실시예에서, 레이저빔은 구성요소(20)의 국부 표면 영역(26)의 500마이크론보다 작은, 보다 특정적으로 100마이크론보다 작은 깊이의 얇은 표면층을 가열하도록 사용된다. 포커싱된 레이저빔은 구성요소의 벌크 온도를 과도하게 상승시키지 않으면서 크랙 치유 온도 이상의 온도로 구성요소(20)의 국부 표면 영역(26) 을 선택적으로 가열하며, 상기 벌크 온도가 구성요소(20)의 열적 파괴 또는 왜곡을 야기할 수 있다. 구성요소(20)의 얇은 표면층을 가열한 이후, 가열된 표면을 빠르게 식히는 것은, 표면 밖의 주변 환경 내로 열을 전도시킴으로써 간단하게 이루어진다. 국부 표면 영역(26)의 단지 좁은 부분만이 레이저빔에 의해 가열되기 때문에, 자연 전도 또는 대류에 의해 식히는 비율은 비교적 빠르다.
레이저빔 열처리가 예시적인 어닐링 처리로 개시되었으나, 다른 어닐링 처리가 사용될 수 있다. 예를 들어, 대안적인 어닐링 처리는 플라스마 제트 가열(plasma jet heating), 전기적 아크 가열(electrical arc heating), 플레임 가열(flame heating)이다. 따라서, 본 발명의 범위는 예시적으로 기술한 데에 제한되지 않으며, 본 발명은 당업자에게 명백한 다른 국부 표면 어닐링 처리 및 방법을 포함한다.
마이크로크랙 형성 처리는 어닐링 단계의 실질적인 부분적 또는 전체적으로 반대이다. 도 1b 및 1d에 도시된 바와 같이 레이저에 의한 마이크로크랙된 표면에 인가된 국부 가열 에너지는 마이크로크랙(28)을 야기한 국부 가열 영역의 연화 또는 플럭싱(fluxing)이 폐쇄되고 그 자체로 밀봉되도록 한다. 도 1a 및 1b에 도시된 바와 같은 비정질 또는 유리 물질에서는, 마이크로크랙(28)의 팁 너머 작용하는 원자력이 크랙 표면을 전체 마이크로크랙 평면 너머 접촉부로 다시 당기는 경향이 있기 때문에, 마이크로크랙 가열 처리가 증진된다고 여겨진다. 도 1c 및 1d에 도시된 바와 같은 미세-결정질 물질에서는, 그레인 경계 영역(31)이 종종 작은 양의 불순물을 포함하며, 이는 마이크로크랙 표면의 보다 빠른 플럭싱 및 결과적인 치유 를 야기하는 플럭싱 인자(flusing agent)로 여겨진다.
레이저 어닐링 처리의 효과가 도 3a 및 3b에 도시되며, 이는 각각 레이저 처리 전후의 표면 마이크로크랙을 포함하는 석영 표면의 광학적 마이크로카피 이미지이다. 도 3a는 다수의 마이크로크랙을 구비한 석영 표면을 도시하며, 이는 보다 밝은 그레인 표면 영역 사이의 어두운 선에 상응한다. 도 3b는 레이저 처리된 샘플의 도면이며, 대부분의 표면 마이크로크랙이 사라져서 부드럽고 연속적인 표면을 제공하는 것이 도시된다. 압흔(indentation) 마크는 석영 견본의 중심에 인공적으로 만든 것이다. 그러나 압흔 마크가 석영 물질의 표면 거칠기와 비슷하여, 결과적으로 도 3a에 도시된 처리 전의 처음 석영 물질에서는 보이지 않는다. 그러나 레이저 처리된 샘플의 표면이 부드러워지고 표면 마이크로크랙 및 거칠기가 사라져서, 부분적으로 치유된 압흔 마크가 도 3b의 레이저 처리된 샘플 도면에서 희미하게 어두운 스팟으로 도시된다.
또한, 챔버 구성요소의 표면 마이크로크랙의 어닐링은 어닐링된 물질의 파괴 응력 및 경도를 실질적으로 증가시키는 것이 발견되었으며, 이는 벗겨짐 및 크랙에 대한 저항을 매우 증진시킨다. 경도 테스트에서는, 공지된 기하학적 형태를 구비한 마이크로-인덴터(micro-indenter)를 사용하여 견본 표면 평면에 수직으로 증가된 하중을 인가한다. 다음, 하중은 견본 표면이 부분적으로 또는 전체적으로 릴랙싱될 때까지 감소되며, 다음 압흔의 깊이가 측정된다. 다음 하중이 점진적으로 증가되어 경도가 손상되어 견본이 크랙될 때까지 압흔 및 측정 처리가 반복된다. 비 커스 경도는 H=PMAX/AC의 수식을 사용하여 계산되며, 여기에서 PMAX는 크랙 이전에 유지된 최대 하중이며 AC는 인덴터 접촉면의 돌출된 영역이다. 경도는 나노 경도 테스터(Nano Hardness Tester)를 사용하여 측정되었다. 인가되는 하중은 나노 뉴튼과 유사하며, 변위는 편차 커패시터 센서(differential capacitor sensor)를 사용하여 정확하게 결정된다. 최초 처리되기 전의 석영 견본 및 레이저 어닐링된 석영 견본이 측정되었다. 처리되지 않은 견본의 평균 비커스 경도 인덱스는 약 771.68이며, 레이저 어닐링된 석영 견본의 비커스 경도 인덱스는 평균 951.68이다. 따라서, 레이저 어닐링된 석영 견본은 처리되지 않은 견본에 비해 적어도 약 10%, 보다 바람직하게는 적어도 약 25% 단단한 비커스 경도를 갖는다.
증가된 크랙 및 벗겨짐 저항의 다른 측정 실험은 파괴 응력 측정이다. 세라믹 물질은 그 깨지기 쉬운 특성으로 인하여 인장 테스트 대신에 종종 휨 또는 굽힘 테스트로 테스트된다. 세라믹 물질이 파괴에 의해 손상되는 응력은 물질의 파괴 응력 또는 파괴 강도라고 지칭된다. 처리되지 않은, 그리고 레이저 어닐링된 석영 견본의 파괴 응력은 ASTM C1161-90에 따른 범용 테스팅 기계(Universal Testing Machine)에 의해 수행되는 4지점 굽힘 테스트(4-point bending test)로부터 비교된다. 파괴에서의 하중 및 견본의 단면은 σ=Load/wxt의 수식으로부터 응력 파괴를 계산하도록 사용되며, 여기에서 wxt는 하중이 인가되는 단면 영역이다. 처리되지 않은 석영 견본의 평균 파괴 응력은 86.23MPa이며, 레이저 어닐링된 석영 견본의 평균 파괴 응력은 132.27MPa이다. 따라서, 레이저 어닐링된 석경 견본의 파괴 응 력은 처리되지 않은 견본의 파괴 응력에 비교하여 적어도 약 25%, 보다 바람직하게는 적어도 약 50% 높다.
따라서, 구성요소(20)의 국부 표면 영역(26)의 마이크로크랙(28)의 어닐링은 구성요소(20)의 표면 평활도(smoothness), 경도, 및 파괴 강도를 크게 증가시킬 수 있다. 특히 구성요소의 돌출부, 코너 및 에지와 같이 응력이 인가되기 쉽거나 또는 깨지기 쉬운 구성요소(20)의 표면 내에 마이크로크랙(28)이 없거나 감소되면 구성요소(20)의 크랙 저항 및 벗겨짐 저항이 실질적으로 증가한다. 바람직하게는, 구조적 변형 또는 다른 열적 저하를 야기할 수 있는 상승된 온도로 전체 구성요소(20)에 인가함 없이도, 표면 어닐링이 선택된 표면 영역(26)의 치유 및 증가된 강도를 허용한다. 그러나 전체 구성요소는 적합한 열처리에 의해 어닐링될 수 있다.
도 4에 도시된 실시예에서 구성요소(20)의 국부 표면 영역(26) 내의 마이크로크랙(28)을 어닐링하는 것은, 레이저 어닐링 기기(50)를 사용하여 수행될 수 있다. 레이저 어닐링 기기(50)는 전력원(55)에 의해 전력을 공급받는 레이저빔 소오스(54)를 둘러싸는 레이저빔 인클로져(52)를 포함한다. 마이크로크랙 어닐링에 사용되기에 적합한 레이저빔 소오스(54)는 예를 들어 Ar(아르곤), CO2, 및 KrF 레이저를 포함한다. 아르곤 레이저는 약 5145옹스트롱의 가시 파장을 전달한다. CO2 레이저는 10.6㎛의 파장을 갖는 인프라-레드 에너지원(infra-red energy source)이며, 10키로와트에 이르는 전력을 갖는 빔을 공급할 수 있다. CO2 레이저는 아르곤 레이저에 비해 100배 효율적이며, 아르곤 레이저에 비교하여 보다 큰 강도를 갖고 보다 빠른 스캔 속도 및 보다 큰 스폿 크기를 허용한다. CO2 레이저는 1972년 11월 14일 공보된 미국 특허 제 3,702,973호에 개시되며, 본 발명에서 참조된다. 다른 형태의 레이저는 약 248㎚의 파장, 5.0eV의 Eg, 약 3%의 효율 및 350mJ의 출력 에너지를 갖는 KrF 엑시머 레이저(excimer laser)이다. 레이저빔은 전형적으로 약 10mm보다 작은, 보다 전형적으로 약 0.5mm 내지 약 4mm의 빔 지름을 갖는 원형빔이다. 따라서, 적합한 레이저빔은 약 190㎚ 내지 약 10,600㎚의 파장을 가질 수 있다. 레이저는 전형적으로 약 5와트 내지 약 10,000와트의 전력 레벨에서 작동된다.
레이저(50)는, 주초점(primary focal point)(58)에 포커싱되고 제 2 초점(84)에 보다 큰 초점거리를 제공하는 재초점 미러(62)에 의해 재-이미지화되는 레이저빔(56)을 제공한다. 재초점 미러(62)와 제 2 초점(84) 사이에는, 미리 선택된 주파수로 디서링 미러(dithering mirror)(68)를 진동하는 디서링 구동 모터(72)에 연결된 디서링 미러(68)가 제공된다. 디서링 구동 모터(72)는 실질적으로 미러(68) 평면 내에서 축(76) 중심으로 디서링 미러(68)를 진동시키며 미러에 의해 포커싱된 투사 레이저빔(56)에 전달한다. 디서링 미러(68)로부터 방사된 디서링된 빔은 도 1에 도시된 평면을 가로지르는 호를 공간적으로 진동시킨다.
전형적으로, 레이저빔(56)은 빔 지름을 따라 강도 분포를 가지며, 또한 이는 빔의 강도 형태 또는 강도 프로파일로 지칭되며, 이는 레이저빔(50)의 형태에 따른 다. 일반적인 빔 프로파일 형태는 가우시안 형(Gaussian shape)이며, 보다 특정적으로 U-형 강도 프로파일이다. 레이저 빔의 포커싱은 빔의 단면 크기를 변화시키지만 가우시안 형 또는 U-형으로 남는 빔 강도 분포를 변화시키지 않는다. 레이저빔의 가우시안 형 또는 U-형 단면을 정정하는 한가지 방법은 레이저빔(56)을 공간적으로 진동시키는 것이며, 이는 디서링으로 지칭된다. 레이저빔(56)의 공간적 진동 또는 디서링은 디서링빔에 의해 스캐닝되는 영역에 걸쳐서 평균적이고 보다 균등한 강도의 방사를 제공한다. 일 실시예에서, 레이저빔(56)은 그 초점에서 대략적인 가우시안 형이지만, 공간적 진동 또는 디서링은 사인파 형태이다. 디서링은 미러(76) 평면에 평행하며 디서링 평면을 가로지르는 축(76) 상에서 전후로 진동되는 디서링 미러(76)에 의해 제공된다. 전형적으로 디서링된 빔은 디서링되지 않은 빔에 비해 적어도 2배의 영역을 커버한다. 사인파형 디서링으로, 국부 표면 영역 상에 돌출된 디서링 빔을 가로지르는 각 지점에서의 평균 강도가 중앙 영역에서 그리고 대형 단부에서의 피크 점에서 대략 평평하다. 디서링 빔의 결과적인 강도 프로파일은 정사각파(square wave)와 같은 형태이며, 인접하고 겹치는 스위프(sweep)에서 국부 표면 영역에 걸친 스캐닝을 위한 양호한 강도 프로파일을 제공한다. 그러나 사인파 형태와 같은 다른 빔 형태가 레이저 스캐닝 방법을 대략적으로 보정하도록 사용될 수 있다.
다음, 디서링된 빔은, 제 2 초점(84)에서 제어 가능하거나 또는 미리 정해진 고정된 틈(82)을 갖는 빔 너비 제어 틈(80)을 통과한다. 틈(80)은 스캐닝 미러 또는 렌즈일 수 있는 제 2 포커싱 시스템(90)과 디서링 미러(76) 사이에 위치한다. 미러(68)의 축(76)은 도 1의 평면에 평행하거나 또는 이를 가로지를 수 있다. 다음, 빔은 스캐닝 시스템(94)에 의해 구동되는 스캐닝 미러(92) 상에 투사된다. 스캐닝 시스템(94)은 축(96) 상에서 미러(92)를 진동시켜서 처리되는 챔버 구성요소 상의 선택된 국부 영역(100)에 걸쳐 전후로 빔(56)을 스위프하고 스캐닝한다. 스캐닝된 빔은 인클로져(100) 내의 윈도우(102)를 통과한다. 스캐닝 미러(92)의 스위프 비율은 전형적으로 디서링 미러(68)의 디서링 주파수보다 작다. 예를 들어, 약 500㎛의 빔 지름을 갖는 포커싱된 CO2 레이저는 약 1mm/sec 내지 약 100mm/sec으로 스캐닝될 수 있다.
스캐닝 시스템은 스테이지 모터(stage motor)(114)에 의해 구동되는 X-Y 구동 가능 스테이지(110)와 소통한다. 스테이지(110)는 Z 또는 수직 방향으로 슬라이딩되는데 적합할 수 있어서, 구성요소에 투사되는 빔 너비를 변경시킨다. 스캐닝 시스템(94)은 스테이지(110)의 이동에 따라 스캐닝 미러(92)의 스위프 비율과 동기화되고 결과적으로 스테이지(110) 상에 놓인 챔버 구성요소의 이동과 동기화되어, 구성요소에 걸쳐 디서링되고 틈새를 나온 빔을 균등하게 스캐닝한다. 스캐닝 파라미터는, 레이저빔의 형태를 보상하도록 스캐닝 속도 및 패턴을 조절함으로써 빔이 스캐닝되는 국부 표면 영역을 균등하게 가열하도록 선택된다. 예를 들어 빔(56)의 강도 분포는 링들을 포함하며, 상기 링들은 중심에서 최대가 되며 빔의 주변 필드 링형 특성으로 인하여 빔의 중심 내에서 침하된다. 더욱이, 빔 스캔이 겹치는 것이 바람직하여, 레이저빔의 단면 강도 내에서 어떠한 진동도 보상된다 - 빔 스캔이 겹치지 않고 레이저빔이 래스터(raster)-형 스캔 표면에 걸쳐서 레이저빔의 스위프되는 경우, 열처리 깊이는 빔 형태에 따라 빔 스캔에 걸쳐 다양할 수 있다.
레이저빔 어닐링 기기(50)는 시스템 작동을 제어하며 전력 공급원(55)에 연결되는 제어기(118)를 더 포함하며, 상기 전력 공급원은 레이저(54), 디서링 구동 모터(72), 및 스캐닝 시스템(94)에 전력을 공급한다. 추가로, 제어기(118)는 사용자 입력 장치(122)로부터 입력을 수신하며, 입력 파라미터 및 스캐닝 시스템 정보를 디스플레이(122) 상에 디스플레이한다. 제어기(118)는 랜덤 어세스 메모리(random access memory), 디스크 드라이브, 인터페이스 카드 및 버스 상의 저장 메모리(storage memory)를 포함하는 적정한 메모리 장치에 연결된 중앙 처리 유닛(CPU)을 갖는 종래의 컴퓨터일 수 있다. 레이저빔 어닐링 기기(50)는 전체 표면 영역에 걸친 양호한 균등성으로서 구성요소에 걸쳐 국부 표면 영역을 레이저 어닐링할 수 있다.
마이크로크랙을 감소시키거나 가열시키도록 어닐링하는 구성요소(20)는 도 5에 개략적으로 도시된 바와 같은 기판 처리 기기(200)에서 사용될 수 있으며, 이는 반도체 웨이퍼 또는 디스플레이와 같은 기판(215)을 제조하도록 사용된다. 기기(200)는 MxP, MxP SuperE, 또는 eMax형 에칭 챔버일 수 있으며, 이는 캘리포니아 산타바바라의 Applied Materials Inc.로부터 입수 가능하며, Cheng 등의 미국 특허 제 4,842,683호 및 제 5,215,619호 및 Maydan 등의 미국 특허 제 4,668,338호에 기재되며, 본 발명에서 참조된다. 예시적인 기기(200)는 Maydan 등의 미국 특허 제 4,951,601호에 개시된 반도체 기판 처리를 위한 멀티-챔버 통합 시스템일 수 있으며, 이는 본 발명에서 참조된다.
일반적으로 기기(200)는 처리 챔버(225) 및 보조 제어, 전기적, 플럼빙(plumbing) 및 지지 구성요소를 포함한다. 지지체(238)를 포함하는 지지 어셈블리(230)는 처리 구역(235) 내에서 기판(215)을 수용하도록 제공된다. 지지체(238)는, 적어도 부분적으로 전극(250)을 커버하는 절연물(245)을 포함하고, 헬륨과 같은 열 전달 가스가 가스 도관(270)을 통해 열전달 가스 공급원(265)으로부터 통과되어 기판(215)의 온도를 제어할 수 있는 가스 출구부(260)를 갖는 정전기 척(240)일 수 있다. 대안적으로, 지지체(238)는 진공 또는 기계적 척이거나 또는 공지 기술 상의 어떠한 지지체일 수 있다. 전극(250)은 전극 전압 공급원(275)에 의해 전기적으로 충전되어 기판(215)을 정전기적으로 유지한다. 정전기 척(240) 아래의 베이스(280)는 선택적으로 열 전달 유체가 순환하는 채널과 같은 열 교환기를 포함할 수 있다.
처리 가스는, 가스 공급원(290) 및 챔버(225)에서 종결되는 하나 또는 그 이상의 가스 노즐(295)을 포함하는 가스 공급원(285)을 통해 챔버(225)에 진입한다. 가스 노즐(295)은 (도시되지 않은) 기판(215)의 주변부 둘레에 또는 (도시되지 않은) 챔버 천장에 장착된 샤워헤드 내에 위치한다. 가스 유동 제어기(300)는 처리 가스의 유동률을 제어하도록 사용된다. 보내진 처리 가스 및 그 부산물이 챔버(225)로부터 배출 시스템(305)을 통해 배출된다. 배출 시스템(305)은 전형적으로 러핑(roughing) 또는 고진공 펌프와 같은 다수의 펌프로 이끄는 배출 도관을 포 함하며, 이는 챔버(225) 내의 가스를 배기한다. 스로틀 밸브(310)가 배출 도관 내에 제공되어 챔버(225) 내의 가스압을 제어한다.
예를 들어 가스형 플라스마와 같은 에너지화된 가스가 RF 또는 마이크로웨이브 에너지와 같은 전자기 에너지에 커플링된 가스 에너자이저(275)에 의해 처리 가스로부터 챔버(225)의 처리 구역(235) 내에 처리 가스에 생성된다. 예를 들어, 가스 에너자이저(275)는 전기적으로 접지된 챔버의 측벽체 또는 천장과 같은 제 1 처리 전극(315) 및 절연물(245) 내의 전극(250)일 수 있는 제 2 전극을 포함할 수 있다. 제 1 전극 및 제 2 전극(315, 250)은 전극 전압 공급원(270)에 의해 제공된 RF 전극에 의해 상호 전기적으로 바이어싱된다. 전극(315, 250)에 인가된 RF 전극의 주파수는 전형적으로 약 50KHz 내지 약 60MHz이다. 다른 실시예에서, 가스 에너자이저(275)는 챔버(225)에 RF 에너지를 유도성 커플링하는 하나 또는 그 이상의 코일을 포함하는 (도시되지 않은) 유도성 안테나를 더 또는 대안적으로 포함할 수 있다. 용량적으로 생성된 플라스마는, 영구 자석 또는 전자기 코일과 같은 자기장 생성기(320)가 기판(215)의 평면에 평행하게 회전하는 축을 갖는 회전 자기장을 구비한 챔버(225) 내에 자기장을 제공하는 자기적으로 증진된 반응기 내에서 전자 사이클로트론 공진(electron cyclotron resonance)에 의해 증진될 수 있다.
챔버(225)는 (도시되지 않은) 하나 또는 그 이상의 처리 모니터링 시스템을 더 포함할 수 있어서, 이는 기판(215) 상에서 수행되는 처리를 모니터링한다. 전형적인 처리 모니터링 시스템은 기판(215) 상에 처리되는 층으로부터 반사된 빛의 강도를 측정하는 간섭 측정 시스템(interferometic system), 또는 챔버(225) 내의 가스 견본의 빛 방사 강도 상의 변화를 측정하는 플라스마 방사 분석 시스템(plasma emission analysis system)을 포함한다. 처리 감지 시스템은 기판(215) 상에서 수행되는 처리의 종결점을 감지하는데 유용하다.
링(25)과 같이 레이저 어닐링된 구성요소(20)는 챔버(225)의 기판 지지 어셈블리(230)의 기판 지지체(238) 둘레에 맞추어진다. 링(25)은 절연물(245)이 챔버(225) 내의 에너지화된 처리 가스와 접촉하는 것을 방지함으로써, 예를 들어 정전기 척(240)의 절연물(245)인 지지 어셈블리(230)를 부식으로부터 보호할 수 있다. 대안적으로, 링(25)은 지지 어셈블리(230) 내에서 다른 사용례를 가질 수 있다.
도 6을 참조하여, 링(25)을 둘러싸는 칼라(210)와 같은 추가 구조체가 레이저 어닐링되어 표면 마이크로크랙을 감소시킬 수 있다. 칼라(210)는 산화 알루미늄 또는 산화 규소와 같은 세라믹 물질로 이루어질 수 있다. 칼라(210)는 쉴드와 같은 기능을 할 수 있어서, 링과 함께 챔버의 교체 가능한 처리 키트를 형성한다. 챔버 벽체 라이너와 같은 다른 링형 구조체가 레이저 어닐링될 수 있으며, 챔버(225)를 위한 처리 키트의 일부일 수 있다.
본 발명의 예시적인 실시예가 도시되고 설명되었으나, 당업자는 본 발명이 채택할 수 있는 다른 실시예에도 본 발명의 균등한 범위가 미침을 알 것이다. 예를 들어 어닐링된 챔버 구성요소(20)는 챔버(225)의 벽체 또는 천장과 같은 챔버 구성요소일 수 있다. 추가로, 표면 어닐링을 위한 대안적인 방법이 사용될 수 있 다. 더욱이, 예시적인 실시예에 대한 상대적이며 위치를 표현하는 용어가 대체될 수 있다. 따라서, 첨부된 청구범위는 본 발명을 설명하기 위해 기술하였던 다양한 실시예, 물질 및 공간적 배열체에 대한 기술에만 제한되지 않는다.

Claims (12)

  1. 구조적 바디(structural body)를 갖는 기판 처리 챔버 구성요소로서,
    어닐링된 마이크로크랙(microcrack)을 구비한 국부 표면 영역(localized surface region)을 포함하며, 상기 어닐링된 마이크로크랙은 크랙 전파를 감소시키고 파괴 저항을 증가시키는,
    기판 처리 챔버 구성요소.
  2. 제 1 항에 있어서,
    레이저-어닐링된 마이크로크랙을 구비한 국부 표면 영역을 포함하는,
    기판 처리 챔버 구성요소.
  3. 제 1 항에 있어서,
    CO2 레이저-어닐링된 마이크로크랙을 구비한 국부 표면 영역을 포함하는,
    기판 처리 챔버 구성요소.
  4. 제 1 항에 있어서,
    상기 구조적 바디는 내부축 중심으로 회전 대칭인,
    기판 처리 챔버 구성요소.
  5. 제 1 항에 있어서,
    상기 구조적 바디는 세라믹, 유리, 또는 유리-세라믹으로 이루어진,
    기판 처리 챔버 구성요소.
  6. 제 1 항에 있어서,
    상기 구조적 바디는 석영을 포함하는,
    기판 처리 챔버 구성요소.
  7. 제 1 항에 있어서,
    상기 국부 표면 영역은 상기 구조적 바디의 에지 또는 표면인,
    기판 처리 챔버 구성요소.
  8. 제 1 항에 있어서,
    상기 구조적 바디는
    (ⅰ) 처리되지 않은 바디에 비해 약 10% 이상 높은 평균 비커스 경도; 또는
    (ⅱ) 처리되지 않은 바디에 비해 약 25% 이상 높은 평균 파괴 응력 중 하나 이상을 포함하는,
    기판 처리 챔버 구성요소.
  9. 제 1 항에 있어서,
    상기 구조적 바디는 링, 플레이트 또는 실린더를 포함하는,
    기판 처리 챔버 구성요소.
  10. 기판 처리 구성요소를 제조하는 방법으로서,
    상기 방법은,
    (a) 구조적 바디를 갖는 구성요소를 형성하는 단계; 및
    (b) 상기 구성요소의 국부 표면 영역 상의 마이크로크랙을 어닐링하기에 충분한 시간 동안 상기 국부 표면 영역 상에 레이저빔을 지향하는 단계를 포함하며,
    상기 어닐링된 마이크로크랙은 크랙 전파를 감소시키고 파괴 저항을 증가시키는,
    기판 처리 구성요소 제조 방법.
  11. 제 10 항에 있어서,
    상기 방법은,
    (ⅰ) 상기 국부 표면 영역에 걸쳐 상기 레이저빔을 스캐닝하는 단계; 또는
    (ⅱ) CO2 레이저로 상기 레이저빔을 생성하는 단계 중 하나 이상을 포함하는,
    기판 처리 구성요소 제조 방법.
  12. 제 10 항에 있어서,
    상기 레이저빔을 지향하는 단계는,
    (ⅰ) 약 190nm 내지 약 10,600nm의 파장, 또는
    (ⅱ) 약 5Watts 내지 약 10,000Watts의 전력 레벨 중 하나 이상의 특성을 갖는,
    기판 처리 구성요소 제조 방법.
KR1020077030395A 2005-07-13 2006-07-12 기판 프로세싱 챔버를 위한 구성요소의 국부 표면 어닐링 KR101278217B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/181,041 US8617672B2 (en) 2005-07-13 2005-07-13 Localized surface annealing of components for substrate processing chambers
US11/181,041 2005-07-13
PCT/US2006/027078 WO2007008999A2 (en) 2005-07-13 2006-07-12 Localized surface annealing of components for substrate processing chambers

Publications (2)

Publication Number Publication Date
KR20080033181A true KR20080033181A (ko) 2008-04-16
KR101278217B1 KR101278217B1 (ko) 2013-06-24

Family

ID=37637934

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077030395A KR101278217B1 (ko) 2005-07-13 2006-07-12 기판 프로세싱 챔버를 위한 구성요소의 국부 표면 어닐링

Country Status (7)

Country Link
US (2) US8617672B2 (ko)
EP (1) EP1902003B1 (ko)
JP (1) JP2009501452A (ko)
KR (1) KR101278217B1 (ko)
CN (1) CN101218191B (ko)
TW (1) TWI417961B (ko)
WO (1) WO2007008999A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
TWI654158B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US8291728B2 (en) * 2009-02-27 2012-10-23 Corning Incorporated Method for the joining of low expansion glass
WO2013067081A1 (en) * 2011-11-02 2013-05-10 Ferro Corporation Microwave sealing of inorganic substrates using low melting glass systems
KR101991405B1 (ko) * 2012-09-19 2019-06-20 삼성전자주식회사 빔 형상기, 이를 구비하는 레이저 어닐링 시스템 및 이 시스템을 이용하여 반사형 포토 마스크를 제작하는 방법
US9142465B1 (en) 2013-03-13 2015-09-22 Sandia Corporation Precise annealing of focal plane arrays for optical detection
TWD169790S (zh) * 2013-07-10 2015-08-11 日立國際電氣股份有限公司 基板處理裝置用氣化器之部分
US9653341B2 (en) * 2014-03-05 2017-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10126061B1 (en) * 2014-08-28 2018-11-13 Apple Inc. Localized strengthening of features for a sapphire component
US10422028B2 (en) * 2015-12-07 2019-09-24 Lam Research Corporation Surface coating treatment
WO2017099919A1 (en) * 2015-12-07 2017-06-15 Applied Materials, Inc. Amalgamated cover ring
DE102017101808A1 (de) * 2016-02-04 2017-08-10 Schott Ag Verfahren zur Dickenkontrolle eines Substrates
US10246342B2 (en) 2016-03-31 2019-04-02 Tronox Llc Centrifugal aluminum chloride generator
US10434604B2 (en) 2016-10-14 2019-10-08 Applied Materials, Inc. Texturizing a surface without bead blasting
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US10593602B2 (en) * 2018-04-27 2020-03-17 Semiconductor Components Industries, Llc Semiconductor substrate crack mitigation systems and related methods
JP1638504S (ko) * 2018-12-06 2019-08-05
CN112195317B (zh) * 2020-10-15 2022-04-15 温州大学 一种异构结构高熵合金的冷轧复合激光表面退火工艺方法
US11955361B2 (en) 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas

Family Cites Families (437)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3322848A (en) * 1962-08-20 1967-05-30 Mobil Oil Corp Production of lubricating oils
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
GB1358061A (en) * 1971-05-21 1974-06-26 Glaverbel Methods of strengthening glass articles
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
US3848104A (en) * 1973-04-09 1974-11-12 Avco Everett Res Lab Inc Apparatus for heat treating a surface
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
JPS5827652Y2 (ja) 1978-05-02 1983-06-15 日本軽金属株式会社 海苔養殖用アルミニウム合金製ポ−ル
JPS54162969U (ko) 1978-05-04 1979-11-14
US4218330A (en) * 1978-06-26 1980-08-19 Ethyl Corporation Lubricant
DE2862217D1 (en) 1978-10-30 1983-05-05 Spanstaal Method and device for manufacturing a road surface, a runway or the like
US4386229A (en) * 1980-03-21 1983-05-31 Phillips Petroleum Company Olefin dimerization
US4430360A (en) 1981-03-11 1984-02-07 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of fabricating an abradable gas path seal
US4358659A (en) * 1981-07-13 1982-11-09 Mostek Corporation Method and apparatus for focusing a laser beam on an integrated circuit
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
FR2551056B1 (fr) * 1983-08-25 1985-11-29 Inst Francais Du Petrole Nouveau procede de fabrication d'olefine lineaire a partir d'acide gras ou d'ester d'acide gras sature
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS60185786U (ja) 1984-05-22 1985-12-09 日立金属株式会社 防食継手
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPS61155279A (ja) * 1984-12-27 1986-07-14 住友電気工業株式会社 セラミツクスの製造方法
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
JPS61242974A (ja) * 1985-04-19 1986-10-29 株式会社日立製作所 薄膜改質方法
JPS61256663A (ja) * 1985-05-09 1986-11-14 Agency Of Ind Science & Technol 半導体装置
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (ko) 1986-09-18 1989-07-31 Grob Ernst Fa
FR2605310B1 (fr) * 1986-10-16 1992-04-30 Comp Generale Electricite Procede de renforcement de pieces ceramiques par traitement au laser
JPS63149396U (ko) 1987-03-24 1988-09-30
JPS63235435A (ja) 1987-03-24 1988-09-30 Nishimura Watanabe Chiyuushiyutsu Kenkyusho:Kk 金属タンタルの製造方法
US5035787A (en) * 1987-07-22 1991-07-30 Microbeam, Inc. Method for repairing semiconductor masks and reticles
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
DE68909665T2 (de) 1988-04-26 1994-02-10 Toto Ltd Verfahren zur Herstellung dielektrischer Keramik für elektrostatische Haltevorrichtungen.
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
EP0406690B1 (en) 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US4967032A (en) * 1989-09-05 1990-10-30 Mobil Oil Corporation Process for improving thermal stability of synthetic lubes
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
JPH03138354A (ja) 1989-10-24 1991-06-12 Pioneer Electron Corp 防着板を備えた薄膜形成装置
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
DE69103915T2 (de) 1990-01-25 1995-05-11 Applied Materials Inc Elektrostatische Klemmvorrichtung und Verfahren.
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5855687A (en) 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
DE69130205T2 (de) 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5242706A (en) * 1991-07-31 1993-09-07 The United States Of America As Represented By The Secretary Of The Navy Laser-deposited biocompatible films and methods and apparatuses for producing same
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
US5356723A (en) 1991-12-18 1994-10-18 Sumitomo Metal Industries, Ltd. Multilayer plated aluminum sheets
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
JP3566740B2 (ja) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3323924B2 (ja) 1993-01-29 2002-09-09 東京エレクトロン株式会社 静電チャック
US5427825A (en) 1993-02-09 1995-06-27 Rutgers, The State University Localized surface glazing of ceramic articles
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
AU1316395A (en) 1993-12-27 1995-07-17 Hoechst Aktiengesellschaft Thermal process for applying hydrophilic layers on hydrophobic substrates and use of thus coated substrates as carriers for offset printing plates
JPH07197272A (ja) 1993-12-29 1995-08-01 Kobe Steel Ltd フィルム密着性に優れた表面処理アルミニウム及びアルミニウム合金板
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
KR100404631B1 (ko) 1994-01-31 2004-02-05 어플라이드 머티어리얼스, 인코포레이티드 두께가일정한절연체막을갖는정전기척
WO1995023428A2 (en) 1994-02-23 1995-08-31 Applied Materials, Inc. Chemical vapor deposition chamber
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5453556A (en) * 1994-06-22 1995-09-26 Mobil Oil Corporation Oligomerization process for producing synthetic lubricants
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
US5597944A (en) * 1994-11-07 1997-01-28 Texaco Inc. Dehydrogenation of N-paraffin to N-olefin employing manganese oxide octahedral molecular sieve as catalyst
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
DE19513207A1 (de) * 1995-04-11 1996-10-17 Metallgesellschaft Ag Verfahren zum Erzeugen von Wachsester und Hydrieren des Wachsesters zu Fettalkohol
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US6683224B1 (en) * 1995-05-03 2004-01-27 Cognis Deutschland Gmbh & Co. Kg Process for the production of fatty alcohols
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5690795A (en) 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH0917850A (ja) 1995-06-30 1997-01-17 Tokyo Electron Ltd プラズマ処理装置
GB2318590B (en) 1995-07-10 1999-04-14 Cvc Products Inc Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5658442A (en) 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5901751A (en) 1996-03-08 1999-05-11 Applied Materials, Inc. Restrictor shield having a variable effective throughout area
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
JPH09272965A (ja) 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
JP3175835B2 (ja) 1996-05-09 2001-06-11 アプライド マテリアルズ, インコーポレイテッド プラズマ発生用埋込み形コイル
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5810931A (en) 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
US6251720B1 (en) 1996-09-27 2001-06-26 Randhir P. S. Thakur High pressure reoxidation/anneal of high dielectric constant materials
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6036587A (en) 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
WO1998028779A1 (de) 1996-12-21 1998-07-02 Singulus Technologies Ag Vorrichtung zur kathodenzerstäubung
EP0954620A4 (en) 1997-01-16 2002-01-02 Bottomfield Layne F COMPONENTS FOR VACUUM EVAPORATION METALLIZATION AND RELATED METHODS
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5893643A (en) 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
US6103069A (en) 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US6000415A (en) 1997-05-12 1999-12-14 Applied Materials, Inc. Method and apparatus for positioning a restrictor shield of a pump in response to an electric signal
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6589407B1 (en) 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield
JP3449459B2 (ja) 1997-06-02 2003-09-22 株式会社ジャパンエナジー 薄膜形成装置用部材の製造方法および該装置用部材
JP4023893B2 (ja) 1997-06-06 2007-12-19 沖電気工業株式会社 発光素子アレイ及び発光素子
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
JP3269993B2 (ja) 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
FR2768158B1 (fr) 1997-09-10 2001-06-01 Seb Sa Revetement de couche antiadherent a durete amelioree pour support en aluminium, articles et ustensiles culinaires comportant ce revetement
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5922133A (en) 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
WO1999014788A1 (en) 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
DE19857314A1 (de) * 1997-12-12 2000-02-03 Sec Dep Of Science And Technol Stark saurer mensoporöser synergistischer Festkörper-Katalysator und Verwendung desselben
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
WO1999032695A1 (fr) 1997-12-22 1999-07-01 Asahi Kasei Kogyo Kabushiki Kaisha Fibres pour flocage electrique et article floque par voie electrique
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JPH11219939A (ja) * 1998-02-04 1999-08-10 Tokyo Electron Ltd 基板載置台表面保護板、処理室内部のクリーニング方法及び基板載置台のクリーニング方法
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP3540936B2 (ja) 1998-03-31 2004-07-07 京セラ株式会社 真空容器
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
WO2000026939A1 (en) 1998-10-29 2000-05-11 Applied Materials, Inc. Apparatus for coupling power through a workpiece in a semiconductor wafer processing system
JP2000144399A (ja) 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
US6365010B1 (en) 1998-11-06 2002-04-02 Scivac Sputtering apparatus and process for high rate coatings
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
WO2000058231A1 (fr) * 1999-03-25 2000-10-05 Asahi Glass Company, Limited Verre de silice synthetique pour element optique, son procede de fabrication et d'utilisation
KR20010014842A (ko) 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
US6346352B1 (en) * 2000-02-25 2002-02-12 International Business Machines Corporation Quartz defect removal utilizing gallium staining and femtosecond ablation
TW503442B (en) 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6703356B1 (en) * 2000-03-23 2004-03-09 Exxonmobil Research And Engineering Company Synthetic hydrocarbon fluids
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
AU780798B2 (en) * 2000-04-18 2005-04-21 Teijin Limited Oxygen concentrating apparatus
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
WO2001084624A2 (en) 2000-04-28 2001-11-08 Applied Materials, Inc. Semiconductor lift pin for dechucking substrates
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
WO2001084590A2 (en) 2000-05-04 2001-11-08 Etec Systems, Inc. Method and apparatus for imaging a specimen using indirect in-column detection of secondary electrons in a microcolumn
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
US6484794B1 (en) 2000-07-06 2002-11-26 Edward R. Schulak Energy transfer system for cold storage facilities
US6358376B1 (en) 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6810887B2 (en) 2000-08-11 2004-11-02 Chemtrace Corporation Method for cleaning semiconductor fabrication equipment parts
US7063773B2 (en) 2000-08-17 2006-06-20 Tosoh Smd, Inc. High purity sputter targets with target end-of-life indication and method of manufacture
US6497797B1 (en) 2000-08-21 2002-12-24 Honeywell International Inc. Methods of forming sputtering targets, and sputtering targets formed thereby
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
WO2002022300A1 (en) 2000-09-11 2002-03-21 Tosoh Smd, Inc. Method of manufacturing sputter targets with internal cooling channels
US6503331B1 (en) 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
WO2002040733A1 (fr) 2000-11-17 2002-05-23 Nikko Materials Company, Limited Cible de pulverisation produisant peu de particules, plaque support ou appareil de pulverisation, et procede de pulverisation produisant peu de particules
US6916407B2 (en) 2000-11-27 2005-07-12 Unaxis Trading Ag Target comprising thickness profiling for an RF magnetron
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
EP1349682B1 (en) 2000-12-18 2008-10-08 Tosoh Smd, Inc. Low temperature sputter target/backing plate joining technique and assemblies made thereby
JP2002187736A (ja) 2000-12-19 2002-07-05 Shinetsu Quartz Prod Co Ltd プラズマ耐食性石英ガラス部材及びその製造方法
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6872284B2 (en) 2001-04-24 2005-03-29 Tosoh Smd, Inc. Target and method of optimizing target profile
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
KR100617402B1 (ko) 2001-09-17 2006-09-01 헤래우스 인코포레이티드 사용된 스퍼터링 타깃을 보수하는 방법 및 보수된 스퍼터타깃
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6667577B2 (en) 2001-12-18 2003-12-23 Applied Materials, Inc Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP3658591B2 (ja) 2002-04-03 2005-06-08 東邦エンジニアリング株式会社 研磨パッドおよび該研磨パッドを用いた半導体基板の製造方法
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
WO2003101762A1 (en) 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
CN1565045A (zh) 2002-07-26 2005-01-12 应用材料公司 旋转-清洗-干燥器用的亲水部件
US6846396B2 (en) 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
KR101047722B1 (ko) 2002-10-21 2011-07-08 캐보트 코포레이션 스퍼터링 타겟 조립체 형성 방법 및 그로부터 제조된조립체
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
EP1694885A4 (en) 2003-04-18 2007-12-19 Applied Materials Inc GALVANIZATION SYSTEM WITH MULTIPLE CHEMISTRY
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7297247B2 (en) 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US20040249229A1 (en) * 2003-06-06 2004-12-09 Gee Jeffery C. Isomerization of olefins with carboxylic acid
US7097744B2 (en) 2003-06-12 2006-08-29 Applied Materials, Inc. Method and apparatus for controlling darkspace gap in a chamber
KR20060029622A (ko) 2003-06-20 2006-04-06 캐보트 코포레이션 백킹 플레이트에 스퍼터 타겟 부착을 위한 방법 및 디자인
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
JP4099135B2 (ja) 2003-10-31 2008-06-11 三菱電機株式会社 反射ミラーの製造方法
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7294224B2 (en) 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
JP2007520634A (ja) 2004-02-03 2007-07-26 ハネウェル・インターナショナル・インコーポレーテッド 物理蒸着用ターゲット構造物
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7049612B2 (en) 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060021870A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
ATE546561T1 (de) 2004-11-19 2012-03-15 Applied Materials Gmbh & Co Kg Trägerplatte mit einer darauf aufgesetzten gekühlten rückenplatte
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060182681A1 (en) * 2004-12-28 2006-08-17 Fortum Oyj Catalytic materials and method for the preparation thereof
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
TW200741022A (en) 2006-03-14 2007-11-01 Applied Materials Inc Pre-conditioning a sputtering target prior to sputtering
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US8221602B2 (en) 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
CN101563560B (zh) 2006-12-19 2012-07-18 应用材料公司 非接触式处理套件
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
WO2009059640A1 (en) 2007-11-08 2009-05-14 Applied Materials Inc., A Corporation Of The State Of Delaware Electrode arrangement with movable shield

Also Published As

Publication number Publication date
US8617672B2 (en) 2013-12-31
CN101218191B (zh) 2011-12-28
CN101218191A (zh) 2008-07-09
WO2007008999A2 (en) 2007-01-18
US20070014949A1 (en) 2007-01-18
TW200710998A (en) 2007-03-16
JP2009501452A (ja) 2009-01-15
US20140167327A1 (en) 2014-06-19
EP1902003B1 (en) 2018-04-25
KR101278217B1 (ko) 2013-06-24
EP1902003A2 (en) 2008-03-26
US9481608B2 (en) 2016-11-01
WO2007008999A3 (en) 2007-04-26
TWI417961B (zh) 2013-12-01

Similar Documents

Publication Publication Date Title
KR20080033181A (ko) 기판 처리 챔버를 위한 구성요소의 국부 표면 어닐링
US5998932A (en) Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6812471B2 (en) Method of surface texturizing
JP5703262B2 (ja) 半導体プロセスチャンバ内において使用するための物品
TWI752925B (zh) 表面塗佈處理
JP2001230239A (ja) 処理装置及び処理方法
JP2004518526A (ja) 損傷の無い表面の造形のための大気圧反応性原子プラズマ加工装置及び方法
JP2006505687A (ja) 基板処理チャンバ用の要素及びその製造方法
KR20160105320A (ko) 표면들을 코팅하기 위한 방법
JP2022173242A (ja) プラズマ処理装置及び部品
CN116568644A (zh) 陶瓷切割方法及装置
US7172675B2 (en) Observation window of plasma processing apparatus and plasma processing apparatus using the same
KR100806097B1 (ko) 예비 처리된 가스 분배판
TW202019601A (zh) 用於材料裂解中受控制的裂痕擴展之入射輻射引發的次表面損傷
TWI822191B (zh) 一種等離子體處置裝置用構件的翻新裝置及翻新方法
US20230294208A1 (en) Electrostatic chuck with laser-machined mesas
JP2022087008A (ja) 基板処理装置及び基板処理方法
JP2022034819A (ja) プラズマ処理装置
JPH07142197A (ja) 電磁波透過体

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180601

Year of fee payment: 6