JP2006505687A - 基板処理チャンバ用の要素及びその製造方法 - Google Patents

基板処理チャンバ用の要素及びその製造方法 Download PDF

Info

Publication number
JP2006505687A
JP2006505687A JP2003584360A JP2003584360A JP2006505687A JP 2006505687 A JP2006505687 A JP 2006505687A JP 2003584360 A JP2003584360 A JP 2003584360A JP 2003584360 A JP2003584360 A JP 2003584360A JP 2006505687 A JP2006505687 A JP 2006505687A
Authority
JP
Japan
Prior art keywords
gas
chamber
processing chamber
substrate processing
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003584360A
Other languages
English (en)
Other versions
JP2006505687A5 (ja
Inventor
ホン ワン
ヨンシャン ヘ
イーシン リン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006505687A publication Critical patent/JP2006505687A/ja
Publication of JP2006505687A5 publication Critical patent/JP2006505687A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • B23K26/382Removing material by boring or cutting by boring
    • B23K26/384Removing material by boring or cutting by boring of specially shaped holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • B23K26/382Removing material by boring or cutting by boring
    • B23K26/389Removing material by boring or cutting by boring of fluid openings, e.g. nozzles, jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/02Iron or ferrous alloys
    • B23K2103/04Steel or steel alloys
    • B23K2103/05Stainless steel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • B23K2103/10Aluminium or alloys thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • B23K2103/14Titanium or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

基板処理チャンバは、チャンバ内部に露出された表面を有する要素を含む。この露出した表面は、互いに離間した窪みのパターンを有し、各窪みは、開口、側壁、及び底壁を有する。これらの窪みは、構造物の或る位置にある一部を気化するのに充分長い時間、構造物の表面上のその位置にパルス化したレーザビームを指向することによって形成される。また、要素は、エンクロージャへプラズマが入るのを減少するために、異なる直径を有する第1と第2の開口を有する、レーザで孔のあけられた、複数のガスの出口のあるエンクロージャを有するガス分配器であることができる。また、レーザで孔のあけられたガスの出口は、丸められたエッジを有することができる。

Description

本発明は、基板を処理するための基板処理チャンバに関する。
基板処理チャンバは、電子的要素、例えば集積回路及びディスプレイを製造するために処理ガス中で基板を処理するために用いられる。一般に、チャンバは、ガスが導入され、プラズマを形成するためにエネルギーが与えられるプロセスゾーンを囲むエンクロージャ壁(囲み壁)を有する。チャンバは、化学的、または物理的気相堆積によって基板上に材料を堆積するために、または基板から材料をエッチングするために使用され、または他の目的のために使用される。チャンバは、また他の要素、例えば、基板支持体、ガス分配器、及びいろいろな形式のシールドを有する。基板の処理中に、チャンバ内に生成されるプロセス残留物がチャンバ内部の露出した表面、例えばチャンバ壁及び要素上に堆積する。
しかし、極端に厚いプロセス残留物がチャンバの内部表面上に累積すると、それらの残留物は、しばしば剥がれて、基板上に落下し、堆積する。これは、スパッタされた物質の厚い残留物が露出したチャンバの内部表面上に累積するとき、スパッタリングプロセスにおいて特に問題である。表面温度の上昇により、累積された残留物と下にある構造体の間で熱膨張のミスマッチによるストレスが生じると、厚い残留物が剥がれる可能性がある。また、CVDの堆積物は、チャンバの内部表面上に累積するので、それは、プラズマ増強された、熱CVDプロセスにおいて問題である。したがって、チャンバは、一般に、要素から累積された残留物をクリーニングするために、時々停止される。このようなチャンバの停止は、非常に競争のあるエレクトロニック産業においては望ましくない。
クリーニングサイクルを減少するために、チャンバの内部表面は、時々、例えばスパッタリングされた物質のようなプロセス残留物の付着を増大するコーティング層で覆われる。このような表面のコーティングは、例えば、2001年6月27日にLin他により共通に譲渡された米国特許第6,777,045号(出願09/895,862)の"Chamber having components with textured surfaces and method of manufacture"(レファレンスによりここに取り込まれる)に記載されている。これらの内部表面により、チャンバは、長期間作動され、クリーニングすることなくプロセスサイクルの数を増大することができるけれども、実際には、累積された堆積物及び下にあるコーティングがマイクロクラック(微細な割れ目)を形成するか、表面から剥離する。チャンバ内のプラズマは、これらの割れ目及び損傷した領域に侵入して、チャンバ内の露出した表面を侵す。
要素、例えば、基板を処理するチャンバへガスまたは基板の下にある熱伝達ガスを供給するために使用されるガス分配器の製造において、他の問題が生じる。これらのガス分配器の幾つかは、高いアスペクト比を有する非常に多くの、そして非常に細かなガス出口孔を有する。例えば、基板に面するシャワーヘッドのガス分配器は、少なくとも4のアスペクト比を有し、直径が0.25mm(約0.01インチ)より小さなサイズの孔を有している。非常に大きな数の細かな孔は、基板の面を横切ってより均一にプロセスガスの流れを広げるが、特に、脆いセラミック材料から作られるガス分配器を製造することは困難である。細かな孔を形成する従来の機械的な孔あけ方法は、しばしば、不均一な大きさまたは不均一な間隔の孔、または割れる粗いエッジを有する孔を生じ、孔の周辺領域にマイクロクラックを生じさせる。チャンバに形成されるプラズマの電気的に帯電されたガス状の種がガス分配器に入って、ガス分配器に不所望のアークまたはグロー放電を生じるとき、他の問題が起きる。これらの放電は、孔を浸蝕する。
米国特許第6,777,045号
したがって、クリーニングすることなく厚いプロセス残留物及び増大した数の処理サイクルを許容することができる内部表面を有するチャンバ壁及び要素を製造することが望まれている。更に、このような要素に細かな孔を製造する方法に対する必要性があり、また、不所望なアーク及びグロー放電を減少する孔を製造することが望ましい。
本発明の一つの特徴は、基板処理チャンバ用の要素がチャンバないでプラズマに少なくとも部分的に曝される表面を有する構造物を含み、曝された表面は、レーザで孔のあけられた、互いに離間している窪みのパターンを有し、各々の孔は、開口、側壁及び底部の壁を有する。
基板処理チャンバ用のキット(用具一式)が複数のこれらの要素を有する。一形式のキットは、例えば、堆積リング、カバーリング、上部のガスシールド、及び底部のガスシールドを含むシールドである要素を有する。
この要素は、チャンバ内でプラズマに少なくとも部分的に曝される表面を有する構造物を形成し、構造物の一部を気化させて構造物に窪みを形成するために、構造物の表面のある位置にパルス化したレーザビームを向け、及び構造物の表面に離間した窪みのパターンを形成するために、構造物の表面の他の位置にパルス化したレーザビームを向けることによって製造することができる。
本発明の他の特徴として、プロセスガスを基板処理チャンバに供給するためのプロセスガス分配器は、エンクロージャと、このエンクロージャにプロセスガスを与えるガス導管と、及び基板処理チャンバにプロセスガスを供給するためにエンクロージャ内の複数のレーザで孔があけられたガスの出口とを有する。ガスの出口の少なくともあるものは、エンクロージャの内部にある第1の直径、及びチャンバの内部にある、第1の直径より小さな第2の直径を有する第2の開口を有するように形成される。代わりに、または更に、ガスの出口の少なくともあるものは、丸められたエッジを有することもできる。
図1(A)及び図1(B)に示されるように、本発明による処理チャンバ100は、基板110上に材料を堆積し(CVD)、基板110上に材料をスパッタリングし(PVD)、または基板110から材料を除去(エッチング)するために、熱で、またはプラズマ中でガスを活性化することによって基板110を処理するために用いられる。例えば、ガスは、続くプロセスのために基板110をクリーニングしたり、準備したりするために、イオン及び中性の粒子で基板110を叩くことによって基板110から材料をスパッタエッチングするために活性化される。
一つの態様において、チャンバ100は、下にある金属層の酸化によって基板110上に形成された酸化物層をクリーニングするために用いられ、その結果、続く金属の堆積プロセスが行われ、基板110上のクリーニングされた下にある金属層と良好に電気的な接触をする金属層を堆積する。チャンバ110は、ターゲット121から基板110上に材料をスパッタリングするためにも用いられる。処理される基板110は、半導体ウエハまたは誘電体板であり、それらの上に半導体、誘電体、または導電体材料を含むことができる。
代表的な半導体材料は、例えば元素のシリコンまたはシリコン化合物のようなシリコン含有材料、及びガリウム砒素化合物を含む。誘電体材料は、二酸化シリコン、ドープされない珪酸ガラス、リン珪酸ガラス(PSG)、ホウ素リン珪酸ガラス(BPSG)、窒化シリコン、及びTEOS堆積ガラスを含む。導体材料は、アルミニウム、銅、タングステンシリサイド、チタンシリサイド、コバルトシリサイド、チタン/窒化チタン 及びタンタル/窒化タンタルを含む。
処理チャンバ100の一部または全部は、金属またはセラミック材料から製造することができる。処理チャンバ100を製造するために用いられる金属は、アルミニウム、陽極酸化されたアルミニウム、"HAYNES 242"、"Al-6061"、"SS 304"、"SS 316"及びINCONELを含み、陽極酸化されたアルミニウムはしばしば好適である。好適なセラミック材料は、クォーツまたはアルミナを含む。例えば、一つの態様において、処理チャンバ100は、RF波長に実質的に透明なセラミック材料、例えばクォーツから作られるチャンバ100内のプロセスゾーン340の周りにチャンバ壁120を有する。チャンバ壁120は、チャンバ100の側壁130、底部壁135、または天井140を含む。天井140は、図1(A)に示されるように、他半径弓状のドーム形状であるか、図1(B)に示されるように、平らな形状であることができる。ハウジング152は、処理チャンバ100の外部にある電磁界がチャンバ100の動作を妨げないようにするために用いられる。
図1(B)に示された実施例において、チャンバ100は、プラズマからチャンバ100の要素または壁をシールドし、プラズマに形成された残留物質250を受け、またはプラズマまたはスパッタされた種を基板110に向け、或いは基板110から離れるようにするために、チャンバ100の内部に露出した表面195を有するシールド150を含む多くの要素140を有している。シールド150は、例えば、基板110の周りにある環状の堆積リング390及び基板110の周りにあるカバーリング391を有することができる。シールド150は、基板110及び支持体160の周りにある上部及び下部のガスシールド392、394をそれぞれ含むこともできる。シールド150は、また、チャンバの内壁の一部、例えば、側壁130または天井140に隣接して配置されたライナー395を有することもできる。シールド150は、アルミニウム、チタン、ステンレススチール、及び酸化アルミニウムから作られる。
チャンバ100用のキットは、例えば、堆積リング390、カバーリング391、及び上部と下部のガスシールド392、394を含む要素410のセット、例えば、シールド150であるが、この分野の当業者に明らかな他の要素のセットであってもよい。キットは、一般に、時々取り替えられ、修理され、またはクリーニングされる必要がある一つ以上のチャンバ要素410のセットとして販売される。シールド要素のキットは、例えば、チャンバ内で大量の基板を処理した後、時々クリーニングされる必要のある堆積リング390やカバーリング391のようなシールド150を有する。チャンバ要素410のキットを取り替える前に、時々、100枚、更には500枚程度の基板がチャンバ内で処理される。キット要素は、例えば、プロセス残留物及び残りのコーティングを剥ぎ取り、及び要素410上に新しいコーティングを設けることによって、改装される必要のある要素であってもよい。
本発明の他の特徴において、図2に示されるように、レーザビームドリル300は、基板の処理チャンバ100の要素410の表面195に窪み200のパターンをレーザで孔あけするために用いられる。要素410の表面195は、チャンバ100のプロセスゾーン340においてガスまたはプラズマに曝される。図3(A)に示されるように、各々の窪み200は、開口230、側壁210、211、及び底部の壁を有する。要素410は、表面195に金属、例えば、アルミニウム、ステンレススチール、酸化アルミニウム、またはチタンを有する。例えば、要素410は、前述されたシールド150の1つであることができ、シールドのキットを有する要素にとって特に有用である。
要素410の表面195にレーザで孔のあけられた窪み200は、図3(A)、図3(B)に示されるように、プラズマにおけるプロセス残留物250の付着を改善する。窪み200は、プロセス残留物250が集まる構造に開口を有し、それによって、プロセス残留物250は構造物190にしっかり取り付けらたままになる。この模様が付けられた表面195は、プロセス残留物250の高いレベルの付着を与える。これらプロセス残留物250がしっかりと付着することによって、模様のついた表面は、要素410からのプロセス残留物250が剥がれ落ちるのを実質的に防止する。プロセス残留物250と構造物190間の機械的固定力は、窪み200の間隔、窪み200のプロファイル及び構造物表面195の部分的な曲線などを含むいろいろなファクタに依存する。
一つの実施例において、窪み200の側壁210、211は、図4(A)、図4(B)に示されるように、底部の壁220に対して傾斜している。例えば、側壁210、211は、構造物190の平らな表面195から約60°〜約85°の角度で傾斜が付けられている。一つの実施例において、側壁210、211は、窪み200の大きさが窪み200の深さと共に増大するように傾斜が付けられている。窪み200の傾斜の付けられた側壁210、211は、窪み200の開口230において第1の寸法を有し、窪み200の底壁において、第1の寸法より大きな第2の寸法を有する断面を生じる。例えば、第1の寸法は、少なくとも約20ミクロンであり、第2の寸法は、少なくとも約30ミクロンであればよい。
これらの窪み200は、図4(C)に示されるように、実線で示される窪みの開口230は、その形状が実質的に円形であり、点線で示される窪み200の底部220は、その形状が実質的に長円である形状を有することもできる。テーパのついた断面を有するこのような楔形状の窪み200によって、プロセス残留物250が窪み200を満たすことが可能であり、表面195により強く固着されたままになる。楔形状の窪み200は、窪み200の底に累積された残留物の大きな形状は狭い開口230から容易に出ることができないので、残留物250を表面195にしっかりと保持する。
したがって、傾斜のついた壁を有する窪み200は、プロセス残留物250の改善された保持を与える。プロセス残留物250は窪み200に入り、窪み200で固まるので、また、窪みの開口は、窪み200が深くなるにつれて広くなるようにテーパがついているので、固まったプロセス残留物250は、図4(B)に示されるように、窪み200内に留まる。窪み200内で固まったプロセス残留物250は、構造物190の表面195上の残留物250に強く結合され、したがって、表面残留物250を構造物190にしっかり留める。
一つの形態において、要素410の露出した表面195は、模様のある表面を形成するために、窪み200のパターンによって実質的に全体が覆われている。このパターンは、例えば、窪み200の規則的に間隔があけられた配列を有している。窪み200間の間隔は、模様が付けられた表面195によってプロセス残留物250の吸収保持を最適にするように選択される。例えば、多くのプロセス残留物250が表面195上に集まる場合、窪み200は露出された表面195を横切ってより密に間隔が狭められ、それによって、表面が大量の残留物を受け、保持することができる。
図2に戻って、レーザビームドリル300は、レーザビーム310を露出した表面195に向け、露出した表面195の物質を気化させ、露出した表面195に窪み200を効果的に形成し、深くする。一つの実施例において、レーザビームドリル300は、時間にわたって変化する強さを有するパルス化したレーザビーム(以下、パルスレーザビーム)310を発生するレーザビーム発生器320を有する。このパルスレーザビーム310は、材料335の気化または液化を増進するために、ピークパルス電力を使用するが、一方窪み200の形状に関して良好な制御を与えるために熱損失を最小にする。レーザエネルギーは、材料への過剰な熱伝達なく材料の分子の層を連続して分散する。
レーザビームドリル300は、好ましくは、約360ナノメータ未満、例えば約355ナノメータの波長を有する超紫外線レーザビームを発生する、例えば、エキシマーレーザ(excimer laser)を有する。400ナノメータより長い波長を有するレーザビームを使用すると、好ましくない表面形態及び潜在的にマイクロクラックを生じるワークピースへの著しい熱生成を導く。好適なエキシマーレーザは、例えば、ニューハンプシャ州のナシュア(Nashua)にあるレゾネティックス社(Resonetics, Inc.)から利用可能である。
レーザビームドリル300は、1つ以上のピークパルス電力、パルス持続時間、及びパルス周波数を変えることによって制御される。パルスレーザビーム310は、パルスレーザビーム310に曝された材料の所望の厚さを除去するために充分高いピークレベル電力で動作される。例えば、模様の付いた表面を形成するために、パルスレーザビーム310は、構造物190の全体の厚さを通して孔をあけることなく、構造物190において終端する底部の壁220を有する窪みを形成するのに充分高い予め選択された電力レベルで動作される。
しかし、窪み295を形成するために、レーザビームの電力レベルは、構造物190の厚さを通る孔をあけるように設定される。したがって、レーザビームドリル300は、構造物190の表面上に窪み200、または構造物190を通して全て延びる窪みを形成することができるレーザビームを発生する。レーザビームドリル300は、一般に、所望の構造の正確な孔をあけることができ、且つ窪み200の直径、深さ、傾斜角、テーパ角、及びエッジの丸めのレベルを設定するために制御することができる高電力パルス化UVレーザシステムである。
レーザビームドリル300は、孔をあけるために約100までの高アスペクト比を有するパルスレーザビームを提供する。このレーザビーム310は、孔を形成する必要がある構造上の点に合焦され(焦点が結ばれ)、その点における材料を十分高い温度に加熱することによって、材料を液相及び/又は気相に変換する。所望の孔の構造は、その場所から液相及び気相の除去によってパルス毎に形成される。例えば、UVパルスエキシマーレーザは、約10〜約30ナノ秒のパルス幅(各パルスの時間)、約10〜約400ワットの平均電力レベル、及び約100Hz〜約10,000Hzのパルス周波数で動作することができる。
10〜30ナノ秒のパルスレーザの動作中、固相から液相及び気相への材料の変換は、充分早いので、熱が構造物190の本体へ伝達される時間は実際上ない。したがって、高電力UVパルスレーザビームは、レーザの微細加工プロセス中に熱によって影響される構造物190の面積の大きさを効果的に最小にし、それによって局部化されるマイクロクラックを最小にする。
レーザビームドリル300は、レーザビーム310源と構造物190との間の距離を決定し、レーザビーム310を合焦する自動焦点機構(図示せず)を含むことができる光学システムを有する。例えば、自動焦点機構は、構造物190からの光ビームを反射し、反射された光ビームを検出して、構造物190の表面までの距離を決定する。検出された光ビームは、例えば、干渉測定法によって解析することができる。この自動焦点機構は、構造物190の表面195が平坦でない場合のようにレーザビーム310をより正しく合焦することによって改善されたレーザによる孔あけを提供する。
レーザビームドリル300は、更に、構造物190における孔あけ領域にガス流355を向けるガスジェット源342を有することもできる。ガス流は、レーザで孔があけられた領域から気化された材料355を除去し、孔あけのスピード及び均一性を改善し、また気化された材料から合焦レンズ330を保護する。ガスは、例えば、不活性ガスを含む。ガスジェット源342は、構造物190上に合焦し、ガスの流れを構造物190に向けるために、構造物190からある離れた距離にノズル345を有する。
レーザで孔あけされる構造物190は、一般に、移動可能なステージ上に取り付けられ、レーザビームドリル300が構造物の表面上に窪み200を作るために構造物の表面上の異なる点に位置するようにすることができる。例えば、適当なステージが±5ミクロンの解像度及び50mm/秒の最大速度でX、Y、Z方向に±1ミクロンの増分移動することができる4−5軸移動システムであることができる。
基板処理チャンバ100の要素410を製造することは、構造物190を形成する初期のステップを有する。その後、窪み200は、構造物190の一部を気化するために、構造物190の表面195上の位置にパルスレーザビーム310を向けることによってレーザで孔があけられる。パルスレーザビーム310は、構造物190の他の部分を気化し、そこに他の窪み200を形成するために、構造物190の表面上の他の部分に向けられる。これらのステップは、構造物190の表面195に窪み200のパターンを形成するために繰返される。構造物190に窪み200を形成するこのプロセスは、露出した表面195が窪み200で実質的に全体が覆われるまで繰返される。
例えば、図4(A)、図4(B)に示されるように、傾斜のついた側壁210、211を有する窪みを形成するために、パルスレーザビーム310が構造物190の表面と約60°〜約85°の角度を有する傾斜のついた側壁を形成するために選ばれた入射角θ1、θ2で構造物190の表面195に向けられる。例えば、図4(A)を参照すると、第1のレーザビーム311aが構造物190の側壁211を形成するために約60°〜約85°の入射角θ2で構造物190の表面195に向けられ、その後、第2のレーザビーム311bによって示されるように、窪み200の他の傾斜した側壁210を形成するために約95°〜約120°の入射角θ3で構造物190の表面195に向けられる。
図1(A)を参照すると、本発明の他の特徴は、プロセスガスを基板110の処理のためチャンバ100のプロセスゾーン340に与えるのに有用であるガス分配器260を有する。エッチングプロセスにおいて、ガス分配器260は、エッチャントガスをプロセスゾーン340に与え、一方、堆積プロセスにおいては、ガス分配器260は、堆積ガスを与える。スパッタリングエッチングプロセスにおいては、エッチャントガスは、基板材料と化学的に相互作用しない不活性ガス、例えば、アルゴンまたはキセノンを有する。ガス分配器260は、プロセスガスがチャンバ100内に供給される前に、プロセスガスを含むプロセスガス源280に接続される。
一般に、ガス分配器260は、ガスをプロセスゾーン340に送る前に、プロセスガス源280からプロセスガスを受け取り、保つために、キャビティ126の周りにエンクロージャ125を有する。このエンクロージャ125にガス源280からのプロセスガスを運ぶために、ガス導管262が設けられる。エンクロージャ125は、プロセスガス源280とプロセスゾーン340、例えば、基板110上にガスを放出するためにガス放出シャワーヘッドの内部キャビティを囲むシェル、の中間にある。
このエンクロージャ125は、キャビティ126を規定するために共に接合された下部壁、側壁、及び上部壁を有する。エンクロージャ125の壁の少なくとも1つは、チャンバ100のプロセスゾーン340内の環境に曝される表面411を有する。壁の各一つは、分離した構造であることができ、または壁は、単一構造として作ることができる。エンクロージャ125は、アルミニウム、窒化アルミニウム、酸化アルミニウム、炭化珪素またはクォーツである。
エンクロージャ125にあるレーザで孔があけられた複数のガスの出口265は、プロセスガスをチャンバ100のプロセスゾーン240に分配する。選択事項として、レーザで孔があけられたガスの出口265は、チャンバ100のプロセスゾーン340にプロセスガスの流れを均一に分配するために、ガストレンチカバー266に離間して間隔があけられている。例えば、エンクロージャ125は、図示されるようにプロセスゾーン340からガストレンチカバー266の反対側にある。ガスの出口265は、チャンバ100内にプロセスガスの均一な分散を行うために、ガストレンチカバー266に配置されている。例えば、ガスの出口265は、基板110の近くにプロセスガスを導入するために、基板110の周辺に配置されている。ガス分配器260は、約1〜約20,000個のガスの出口265を有する。
少なくとも幾つかのガスの出口265は、テーパがつけられており、プロセスゾーン340へのプロセスガスを可能にするが、プロセスガスがエンクロージャ125へ戻るのを防止する。個々のガスの出口265は、エンクロージャ125の内側に第1の直径(d1)を有する第1の開口を有し、及びエンクロージャ125の外側に第2の直径(d2)を有する第2の開口を有し、その結果、ガスの出口265にテーパがつけられる。一般に、第2の直径(d2)は、第1の直径(d1)より小さい。例えば、第2の直径(d2)は、約1mm(約0.04インチ)未満、例えば0.25mm(約0.01インチ)であり、そして第1の直径(d1)は、約2.5mm(約0.10インチ)未満、例えば2.3mm(約0.09インチ)である。
ガスの出口265を有するガス分配器を形成するステップは、エンクロージャ125の少なくとも一部であり、表面411を有する構造物264を形成する最初のステップを有する。例えば、構造物264は、ガストレンチカバー266の一部であることができる。パルスレーザビーム310は、構造物264の表面411に向けられ、そこにガスの出口265をレーザで孔をあける。合焦ビーム310の断面形状は、レーザの孔あけプロセス中、第1と第2の直径(d1、d2)のいずれかに設定される。ビーム310のビームサイズ(幅)は、レーザの孔あけプロセス中、テーパのつけられたガスの出口265を形成するために調整することもできる。例えば、ビームサイズは、ビーム源の前の開口を閉めるか、開けるかすることによって、またはその大きさ変えるためにビームの焦点を合わせるか、焦点をぼかすことによって、調整することができる。
テーパの付けられたガスの出口265の第2の直径(d2)は、チャンバのプロセスゾーンに形成されたプラズマが入り込むのを制限するために、第1の直径(d1)より十分小さい。例えば、第1の直径(d1)は、少なくとも1.3mmであり、第2の直径(d2)は、約0.3mm未満である。先細りのガスの出口265は、段のついた従来の孔と比較して有利であり、加工中及び陽極酸化プロセス中、マイクロクラックを減少する。
他の実施例において、ガスの出口265は、図5に示されるように、第1の直径(d1)を有する出口265の長さの一部と第2の直径(d2)を有する長さの一部を伴う段がつけられた断面を有する。この段のついた出口は、構造物190を、第1の深さまで達するまで第1の直径を有する第1のレーザビーム310に、その後第2の深さまで達するまで第2の直径を有する第1のレーザビーム310に曝すことによって作られる。
好適な実施例において、ガスの出口265は、図6に示されるように、実質的に連続的にテーパの付けられた断面を有する。断面は、プロセスガスが急変する障害がなくガスの出口265を通ることができるように連続的に、且つ滑らかに先細りになっている。この滑らかに先細りになった開口は、構造物190上の一点に位置されたままで直径が連続して減少するビーム寸法を有するレーザビーム310に構造物190を曝すことによって作ることができる。連続した先細りの断面は、製造中にマイクロクラックが生じる傾向がある段のついた断面のように鋭く変わるエッジを有していないので、有利である。
ガスの出口265は、更に、第1の直径(d1)または第2の直径(d2)の周りにある滑らかな断面形状を有する丸くされたエッジ412を有することができる。この丸くされたエッジ412は、プロセスガスがカーブのついたエッジによって空気力学的障害が生じることなくガス出口265からスムーズに流れるようにする。第1の直径(d1)または第2の直径(d2)の周りにある丸められたエッジ412を得るために、レーザビーム310のビーム寸法は、レーザで孔をあけるプロセス中、例えば、レーザビーム310の前の開口寸法を変化することによって、小さなビーム寸法から僅かに大きな寸法に調整される。利点として、レーザビームで丸められたエッジは、エッジの周りにマイクロクラックを実質的に生じない。従来の機械的孔あけ方法では、孔に滑らかな丸められたエッジを得るにはその能力が制限され、また、機械的な力は、特に、セラミック材料のような脆い、あるいは柔らかくない材料において、機械的に加工されたエッジの周りにマイクロクラックをしばしば生じる。
チャンバ要素に窪み200のパターンを、またはガス分配器260におけるガスの出口265をあけるためにレーザビームを使用することによって、機械加工の孔あけより高精密度、小さな直径を可能にする。更に、機械的先端と構造物190、264との間に接触がなく、また構造物190、264の燃焼もないので、レーザビームドリル300は、長寿命で、より信頼できる。レーザの孔あけは、レーザビームの直径が容易に変えられるので、上述した窪み200またはガスの出口265が多くの直径を有する場合、特に有用である。
図1(A)に戻って、処理チャンバは、更に、プロセスガスのチャンバ100への流れを制御するために、1つ以上のマスフローコントローラ(図示せず)を有する。チャンバ100からのガス、例えば費やされたプロセスガスを排気するために、ガス排気装置270が設けられる。このガス排気装置270は、ガスを受けるポンプチャネル(図示せず)、チャンバ100内のプロセスガスの圧力を制御するスロットルバルブ(図示せず)、及び1つ以上の排気ポンプ(図示せず)を有する。排気ポンプは、例えば、機械的ポンプまたはターボポンプ、例えば、350l/Sレイボルドターボポンプ(Leybold turbo pump)を有する。このガス排気装置270は、また、プロセスガスから望ましくないガスを排除するためのシステムであることもできる。
チャンバ100内のガス組成物及び圧力は、一般に、数mmTorrの圧力にチャンバ100をアルゴンで戻し充填する前に、チャンバ100のプロセスゾーンを少なくとも約10-7Torrまで真空にすることによって達成される。これらのガス圧で、基板110は、チャンバ100内で上方に持ち上げられる。一つの実施例において、処理チャンバ100は、処理チャンバ100内で基板110の高さを調節するために、オペレータによって回転されるノブ(図示せず)を有する。
選択事項として、処理チャンバ100は、プロセスガスをプラズマにエネルギーを与えるガスエナジャイザー331を有することもできる。ガスエナジャイザー331は、処理チャンバ100のプロセスゾーン340において、またはプロセスチャンバ100から上流の離れたトゾーン(図示せず)においてプロセスガスにエネルギーを結合する。
一つの態様において、ガスエナジャイザー331は、1つ以上の誘導コイル(インダクタコイル)360を有するアンテナを有する。この誘導コイル360は、処理チャンバ100の中心の周りに円形対称性を有している。一般に、アンテナ350は、プロセスガスに結合する強い誘導性フラックスを与えるために形成され、配置される1つ以上のソレノイドを有する。アンテナ350が処理チャンバ100の天井140近くに配置されると、天井140の隣接部分は、アンテナ350によって放射される電磁放射、例えばRF電力を通す誘電体材料、例えば、二酸化シリコンから作られる。
アンテナ電源370は、例えば、一般に約50kHz〜約60MHzの周波数、より一般には、約400kHzの周波数で、約100〜5000ワットのRF電力をアンテナ350に与える。RF電力をプロセスガスのインピーダンスにマッチングさせるために、RFマッチング回路網(図示せず)を設けることもできる。
他の態様において、ガスエナジャイザー331は、プロセスガスにエネルギーを与えるために、プロセスゾーン340に電界を作る電極205を有する。この態様において、電極電源240は、電極205に、約50kHz〜約60MHzの周波数、より一般的には13.56MHzの電力を与える。代わりに、あるいは追加的に、ガスエナジャイザー331は、マイクロ波のガスアクチベータ(図示せず)を有することができる。
処理チャンバ100は、処理チャンバ100内に基板を支持する基板支持体160を有する。支持体160は、基板を受け取る表面180を有する誘電体層170によって覆われた電極205を有する。電極の電源240が、ガスにエネルギーを与えるために、DCまたはACバイアス電圧、例えば、RFバイアス電圧を電極205に与える。電極205の下には誘電体板120、例えばクォーツ板があり、チャンバ100の壁120から電極205を電気的に絶縁し、それらのあるものは電気的に接地または浮いていることができ、または、別な方法では電極205に対して電気的にバイアスされている。
電気的にバイアスされた電極は、基板110に向かうスパッタイオンにエネルギーを与え及び加速することによって基板110のエッチングを可能にする。電気的に導通している壁120の少なくとも一部は、好ましくは接地され、その結果、接地され、または浮いているチャンバ壁120に関して、負の電圧が基板110上に維持される。選択事項として、支持体160は、基板110を支持体160に静電的に保持することができる静電チャックを含むこともできる。すなわち、静電引力を発生するためにDC電圧を電極205に印加することができる。
基板支持体160の電極205は、例えば、熱伝達ガス源(図示せず)から表面180へ熱伝達ガスを供給するために設けられたガスチャネル(図示せず)を通して延びる1つ以上のチャネル(図示せず)を有することもできる。熱伝達ガス、一般にヘリウムは、基板110と支持体160との間で熱伝達を促進する。他のチャネル(図示せず)は、昇降ピン(図示せず)が昇降機構(図示せず)によって基板110のローディングまたはアンローディングのため電極205を通して延びるのを可能にする。処理チャンバ100は、処理チャンバ100内の支持体160を上げたり、下げたりする支持体の昇降機構162を有し、基板110の性質または処理を改善したり、変更したりする。
処理チャンバ100は、追加のシステム、例えば、処理チャンバ100の動作中プロセス状態を連続して検出または監視するために、または基板上で行われているプロセスを監視するために使用される1つ以上の検出器(図示せず)を有する。検出器は、例えば、光電子増倍管または光学検出システムのような放射感知装置(図示せず);圧力ゲージ、例えば、マノメータのようガス圧力感知システム(図示せず);熱電対またはRTDのような温度検知装置(図示せず);チャンバ要素に印加される電流及び電圧を測定するアンメータ及びボルトメータ(図示せず);または処理チャンバ100におけるプロセス状態を測定し、及び測定可能なプロセス状態に関して変化する出力信号、例えば、電気信号を与えることができるあらゆる他の装置を有するが、これに限定されない。例えば、プロセス監視システムは、基板110上で処理されている層の厚さを決めるために使用することができる。
コントローラ480がいろいろなチャンバ要素及びシステムへから電気信号を送信し、且つ該要素及びシステムから電気信号を受信することによってチャンバ100の動作を制御する。例えば、処理チャンバ100におけるプロセス監視システムによって測定されたプロセス状態は、電気信号としてコントローラ480へ送信され、その後、コントローラは、信号がスレッショルド値に達すると、プロセス状態を変更する。一つの実施例において、コントローラ480は、処理チャンバを動作するのに適した集積回路を有する電気回路を含むエレクトロニックハードウエアを有する。
一般に、コントローラ480は、データ入力を受け、アルゴリズムをランさせ、有用な出力信号を生成し、そして検出器及び他のチャンバ要素410からのデータ信号を検出するために適合され、及び処理チャンバ100におけるプロセス状態を監視または制御するために使用することができる。例えば、図7に示されるように、コントローラ480は、(i)周辺の制御要素を有するメモリシステムに相互接続される中央処理装置(CPU)500を有するコンピュータ、(ii)処理チャンバ100の特定の要素410を動作する特定用途向けIC(ASICs)(図示せず)、及び(iii)適当な支援(サポート)回路を伴うコントローラインタフェース506を有することができる。
代表的なCPUs500は、PowerPCTM、PentiumTM、及び他のプロセッサを含む。ASICsは、特定のタスク、例えばデータの検索及び処理チャンバ100からの他の情報のため、または特定チャンバの要素410の動作のために設計され、プログラムされる。コントローラのインタフェースボードは、例えば、プロセス監視システムからの信号を処理し、データ信号をCPU500に与えるために、特定の信号処理タスクにおいて使用される。
代表的な支援回路は、例えば、CPU500と接続するコプロセッサ、クロック回路、キャッシュ、電源、及び他のよく知られた要素を含む。例えば、CPU500は、しばしば、ランダムアクセスメモリ(RAM)510、リードオンリメモリ(ROM)(図示せず)、フレキシブルディスドライブ491、ハードディスドライブ492、及びこの分野でよく知られた他の記憶装置と共に動作する。RAM510は、プロセスの実行中に本システムで用いられるコンピュータプログラムコード600をストアするために用いることができる。
コントローラのインタフェース506は、コントローラ480を他のチャンバ要素、例えば、ガスエナジャイザーCPU500、の出力は、ディスプレイ530または他の通信装置に送られる。入力装置540は、動作を制御するために、またはコントローラにおけるソフトウエアを変更するために、オペレータがデータをコントローラ480にインプットするのを可能にする。例えば、オペレータとコンピュータシステムとの間のインタフェースは、陰極線管(CRT)モニタ(図示せず)及びライトペン(図示せず)であることができる。ライトペンは、ペンの先端にある光センサーを有するCRTモニタによって出される光を感知する。
特定のスクリーンまたは機能を選択するために、オペレータは、CRTモニタの指定された領域をタッチし、及びペン上のボタンを押す。タッチされた領域は、その色を変え、または新しいメニューまたはスクリーンが表示され、ライトペンとCRTモニタ間の通信を確認する。他の装置、例えば、キーボード、マウス、またはポイント通信装置がコントローラ480と通信するために使用することができる。一つの実施例において、2つのモニタ(図示せず)が用いられ、一方はオペレータ用のクリーンルームの壁に取り付けられ、他方はサービス技術者用の壁の裏に取り付けられる。両方のモニタ(図示せず)は、同じ情報を同時に表示するが、一方のライトペンのみがイネーブルされる。
本発明は、本発明の好適な態様に関して、かなり詳細に説明されたが、他の態様も可能である。例えば、本発明は、他の処理チャンバ、例えば、化学気相堆積(CVD)処理チャンバまたはエッチングチャンバと共に用いることができる。処理チャンバ100は、この分野の当業者に明らかである他の同等な構成を有することもできる。他の例として、処理チャンバ100の1つ以上の要素410は、レーザで孔をあけた他の形状を有することができる。したがって、請求項は、ここに含まれる好適な態様の記載に限定されるべきではない。
本発明の実施例による処理チャンバの概略図である。 堆積リング、カバーリング及び上下のシールドを示す本発明による他の処理チャンバにおけるいろいろなシールドの概略側面図で、それらの全ては、チャンバ内の基板支持体上で基板が載るところを囲んでいる。 処理チャンバの要素にレーザビームで孔をあけた窪みの断面図である。 処理チャンバの要素に形成されている矩形の窪みの断面図である。 堆積材料を集める、図3(A)の窪みの断面図である。 処理チャンバの要素に形成されている角度のついた窪みの断面図である。 堆積材料を集める、図4(A)の窪みの断面図である。 図4(A)の窪みの上面図である。 ガス分配器における段のあるガスの出口の断面図である。 ガス分配器においてテーパのついた断面を有するガスの出力の断面図である。 図1(A)に示されたチャンバを動作するのに適したコントローラの実施例の概略図である。

Claims (34)

  1. 基板処理チャンバ用の要素であって、
    前記要素は、チャンバ内に少なくとも部分的に露出される表面を有する構造物を有し、前記表面は、レーザで孔があけられた互いに離間された窪みのパターンを有し、各窪みは、開口、側壁、及び底壁を有することを特徴とする要素。
  2. 前記表面は、実質的に全体が窪みで覆われていることを特徴とする請求項1に記載の要素。
  3. 前記窪みは、前記表面に対して傾斜が付けられた側壁を有することを特徴とする請求項1に記載の要素。
  4. 前記側壁は、前記表面に対して約60°〜約85°の角度で傾斜が付けられていることを特徴とする請求項3に記載の要素。
  5. 前記開口は第1の寸法を有し、前記底壁は第2の寸法を有し、前記第1の寸法は前記第2の寸法より小さいことを特徴とする請求項1に記載の要素。
  6. 前記構造物はシールドであることを特徴とする請求項1に記載の要素。
  7. 請求項1による要素を有する基板処理チャンバであって、更に、
    (a)基板支持体と、
    (b)ガスを前記チャンバへ与えるガス分配器と、
    (c)前記ガスにエネルギーをあたえるガスエナジャイザーと、
    (d)前記チャンバから前記ガスを排気するガス排気装置と、
    を有することを特徴とする基板処理チャンバ。
  8. 基板処理チャンバ用の要素を製造する方法であって、
    (a)チャンバないで少なくとも部分的に露出された表面を有する構造物を形成するステップと、
    (b)前記構造物の表面にある位置にパルスレーザビームを指向して、前記構造物の一部を気化して前記構造物に窪みを形成するステップと、
    (c)前記構造物の表面にある他の位置で上記ステップ(b)を繰返して、前記構造物の表面上に互いに離間した窪みのパターンを形成するステップと、
    を有することを特徴とする方法。
  9. 前記ステップ(b)は、前記構造物の表面にパルスレーザビームを指向して、傾斜した側壁を有する窪みを形成するステップを有することを特徴とする請求項8に記載の方法。
  10. 前記ステップ(b)は、前記パルスレーザビームが(i)約60°〜約85°、又は(ii)約95°〜約120°のいずれかの、前記構造物の表面に対する入射角を形成するように、前記構造物の表面にパルスレーザビームを指向するステップを有することを特徴とす請求項8に記載の方法。
  11. 前記ステップ(b)において、前記パルスレーザは、充分高い電力レベルに設定され、前記構造物の中で停止する底壁を有する窪みを形成することを特徴とする請求項8に記載の方法。
  12. 前記ステップ(b)は、前記露出した表面が前記窪みで実質的に全体が覆われるまで繰返されることを特徴とする請求項8に記載の方法。
  13. 前記ステップ(b)は、前記構造物の表面に前記パルスレーザビームを指向して、第1の寸法を有する開口と第2の寸法を有する底壁を有し、且つ前記第1の寸法が前記第2の寸法より小さい窪みを形成するステップを有することを特徴とする請求項8に記載の方法。
  14. 請求項8の方法により製造される要素であって、前記要素は、前記基板処理チャンバのシールドに適した形状を有することを特徴とする要素。
  15. プロセスガスを基板処理チャンバに分配するためのプロセスガス分配器であって、
    (a)エンクロージャと、
    (b)プロセスガスを前記エンクロージャに与えるガス導管と、
    (c)前記プロセスガスを前記基板処理チャンバに分配する前記エンクロージャにおける複数のレーザで孔があけられたガスの出口と、
    を有し、
    前記ガスの出口の少なくとも幾つかは、エンクロージャの内部に第1の直径を有する第1の開口と前記基板処理チャンバの内部に第2の直径を有する第2の開口を有し、前記第2の直径は前記第1の直径より小さいことを特徴とするプロセスガス分配器。
  16. 前記ガスの出口は、実質的に連続してテーパ形状にされた断面を有することを特徴とする請求項15に記載のプロセスガス分配器。
  17. 前記第1または第2の開口は、丸められたエッジを有することを特徴とする請求項15に記載のプロセスガス分配器。
  18. 前記チャンバに形成されたプラズマの、前記エンクロージャへの侵入を制限するために、前記第2の直径は、前記第1の直径より充分小さいことを特徴とする請求項15に記載のプロセスガス分配器。
  19. 前記第2の直径は約0.3未満であり、前記第1の直径は少なくとも約1.3mmであることを特徴とする請求項18に記載のプロセスガス分配器。
  20. 前記エンクロージャは、アルミニウム、窒化アルミニウム、酸化アルミニウム、炭化珪素またはクォーツであることを特徴とする請求項15に記載のプロセスガス分配器。
  21. 請求項15のガス分配器を有する基板処理チャンバであって、更に、
    (a)前記ガス分配器に面する基板支持体と、
    (b)前記ガス分配器によって、チャンバ内に導入されたガスにエネルギーを与えるガスエナージャイザと、
    (c)前記チャンバからガスを排気する排気装置と、
    を有することを特徴とする基板処理チャンバ。
  22. 請求項15のガス分配器を形成する方法であって、
    (a)前記エンクロージャの少なくとも一部を形成する構造物を形成するステップと、
    (b)前記構造物を通して前記ガスの出口をレーザで孔あけするために、前記構造物の表面にパルスレーザビームを指向するステップと、
    を有することを特徴とする方法。
  23. ステップ(b)は、前記第1の直径から前記第2の直径へ、または前記第2の直径から前記第1の直径へ前記パルスレーザビームのビーム寸法を調整するステップを有することを特徴とする請求項22に記載の方法。
  24. ステップ(b)は、前記パルスレーザビームのビームサイズを連続的に調整して、実質的に連続してテーパ形状にされた断面を有するガスの出口を形成するステップを有することを特徴とする請求項22に記載の方法。
  25. ステップ(b)は、前記パルスレーザビームのビーム寸法を調整して、前記ガスの出口のエッジを丸めるステップを有することを特徴とする請求項22に記載の方法。
  26. プロセスガスを基板処理チャンバに分配するためのプロセスガス分配器であって、
    (a)エンクロージャと、
    (b)プロセスガスを前記エンクロージャに与えるガス導管と、
    (c)前記プロセスガスを前記基板処理チャンバに分配する前記エンクロージャにおける複数のレーザで孔があけられたガスの出口と、
    を有し、
    前記ガスの出口の少なくとも幾つかは、丸められたエッジを有することを特徴とするプロセスガス分配器。
  27. 前記ガスの出口は、エンクロージャの内部に第1の直径を有する第1の開口と前記基板処理チャンバの内部に第2の直径を有する第2の開口を有し、前記第2の直径は前記第1の直径より小さいことを特徴とする請求項26に記載のプロセスガス分配器。
  28. 前記ガスの出口は、実質的に連続してテーパ形状にされた断面を有することを特徴とする請求項26に記載のプロセスガス分配器。
  29. 請求項26のガス分配器を有する基板処理チャンバであって、更に、
    (a)前記ガス分配器に面する基板支持体と、
    (b)前記ガス分配器によって、チャンバ内に導入されたガスにエネルギーを与えるガスエナジャイザーと、
    (c)前記チャンバからガスを排気する排気装置と、
    を有することを特徴とする基板処理チャンバ。
  30. 基板処理チャンバ用のキットであって、前記キットは複数の要素を有し、各要素は、前記チャンバ内に少なくとも部分的に露出された表面を有する構造物を有し、前記表面は、互いに離間されたレーザで孔があけられた窪みのパターンを有し、各窪みは、開口、側壁、及び底壁を有することを特徴とするキット。
  31. 前記表面は、実質的に全体が前記窪みで覆われていることを特徴とする請求項30に記載のキット。
  32. 前記要素はシールドであることを特徴とする請求項30に記載のキット。
  33. 前記要素は、堆積リング、カバーリング、上部ガスシールド、及び下部ガスシールドを有することを特徴とする請求項30に記載のキット。
  34. 基板処理チャンバ用のキットであって、前記キットは、堆積リング、カバーリング、上部ガスシールド、及び下部ガスシールドを含む要素を有し、各要素は、チャンバ内に少なくとも部分的に露出された表面を有する構造物を有し、前記表面は、互いに離間されたレーザで孔があけられた窪みのパターンで実質的に全体が覆われており、各窪みは、開口、側壁、及び底壁を有することを特徴とするキット。
JP2003584360A 2002-04-08 2003-04-04 基板処理チャンバ用の要素及びその製造方法 Pending JP2006505687A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/119,382 US20030188685A1 (en) 2002-04-08 2002-04-08 Laser drilled surfaces for substrate processing chambers
PCT/US2003/010786 WO2003087427A2 (en) 2002-04-08 2003-04-04 Laser drilled surfaces for substrate processing chambers

Publications (2)

Publication Number Publication Date
JP2006505687A true JP2006505687A (ja) 2006-02-16
JP2006505687A5 JP2006505687A5 (ja) 2006-06-01

Family

ID=28674579

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003584360A Pending JP2006505687A (ja) 2002-04-08 2003-04-04 基板処理チャンバ用の要素及びその製造方法

Country Status (7)

Country Link
US (1) US20030188685A1 (ja)
JP (1) JP2006505687A (ja)
KR (1) KR20050014803A (ja)
CN (1) CN100529172C (ja)
MY (1) MY137727A (ja)
TW (1) TWI270934B (ja)
WO (1) WO2003087427A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007321244A (ja) * 2006-05-30 2007-12-13 Applied Materials Inc 基板処理チャンバのためのリングアセンブリ
JP2013519790A (ja) * 2010-02-11 2013-05-30 アプライド マテリアルズ インコーポレイテッド 半導体処理のためのコーティング材料を備えたガス分配シャワーヘッド
WO2013146185A1 (ja) * 2012-03-29 2013-10-03 京セラ株式会社 環状部材およびそれを用いた成膜装置

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
KR100673597B1 (ko) * 2004-09-21 2007-01-24 (주)아이씨디 플라즈마 챔버
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070040501A1 (en) 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
DE202006016155U1 (de) * 2006-10-21 2006-12-21 Mühlbauer Ag Vorrichtung zum Anordnen von Durchgangsöffnungen in einem fortbewegbaren Band
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100916006B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR100916005B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
US7982161B2 (en) * 2008-03-24 2011-07-19 Electro Scientific Industries, Inc. Method and apparatus for laser drilling holes with tailored laser pulses
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102922142A (zh) * 2012-10-30 2013-02-13 张立国 一种激光加工的方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9101954B2 (en) * 2013-09-17 2015-08-11 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104133262A (zh) * 2014-07-16 2014-11-05 深圳市华星光电技术有限公司 滤色板、滤色板制作方法及液晶显示器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016183052A1 (en) * 2015-05-11 2016-11-17 Westinghouse Electric Company Llc Delivery device usable in laser peening operation, and associated method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10434604B2 (en) 2016-10-14 2019-10-08 Applied Materials, Inc. Texturizing a surface without bead blasting
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6949421B2 (ja) * 2017-05-09 2021-10-13 株式会社ディスコ 加工方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109457224B (zh) * 2017-09-06 2021-06-15 台湾积体电路制造股份有限公司 制程零件、半导体制造设备及半导体制造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11123837B2 (en) 2017-12-22 2021-09-21 Applied Materials, Inc. Method of removal of sharp corners from diffuser plate
CN107953031A (zh) * 2017-12-26 2018-04-24 武汉力博物探有限公司 一种激光切割头
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20230046324A (ko) * 2018-04-17 2023-04-05 어플라이드 머티어리얼스, 인코포레이티드 비드 블라스팅을 이용하지 않는 표면의 텍스처라이징
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
TWI815945B (zh) * 2018-08-10 2023-09-21 美商應用材料股份有限公司 多陰極沉積系統
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109128532B (zh) * 2018-09-27 2020-07-28 广东工业大学 一种多工位即时清洗的激光阵列微孔加工方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11119405B2 (en) * 2018-10-12 2021-09-14 Applied Materials, Inc. Techniques for forming angled structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US20210310122A1 (en) * 2020-04-03 2021-10-07 Applied Materials, Inc. Method of forming holes from both sides of substrate
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220111468A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Methods to fabricate chamber component using laser drilling
US11819948B2 (en) * 2020-10-14 2023-11-21 Applied Materials, Inc. Methods to fabricate chamber component holes using laser drilling
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199373A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Methods to eliminate of deposition on wafer bevel and backside
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11158628A (ja) * 1997-12-03 1999-06-15 Asuzakku Kk 成膜及び触刻装置用セラミック素材

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4412133A (en) * 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) * 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US4665462A (en) * 1985-06-17 1987-05-12 The Simco Company, Inc. Ionizing gas gun for balanced static elimination
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US5324653A (en) * 1988-02-11 1994-06-28 Gist-Brocades N.V. Recombinant genetic means for the production of serine protease muteins
US5260156A (en) * 1988-04-26 1993-11-09 Ricoh Company, Ltd. 1,3-pentadiene derivatives and electrophotographic photoconductor using the same
JP2665242B2 (ja) * 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
JP2779950B2 (ja) * 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) * 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5178266A (en) * 1991-12-18 1993-01-12 Villa Donald J Carrier for beverage cans that breaks apart upon can removal
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) * 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5948288A (en) * 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6104834A (en) * 1996-08-01 2000-08-15 Ricoh Company Limited Matching CCITT compressed document images
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
WO1998031845A1 (en) * 1997-01-16 1998-07-23 Bottomfield, Layne, F. Vapor deposition components and corresponding methods
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
FR2781707B1 (fr) * 1998-07-30 2000-09-08 Snecma Procede d'usinage par laser excimere de trous ou de formes a profil variable
US6171453B1 (en) * 1998-12-02 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd Alignment mark shielding ring and method of using
JP4141560B2 (ja) * 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
KR20010014842A (ko) * 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6699375B1 (en) * 2000-06-29 2004-03-02 Applied Materials, Inc. Method of extending process kit consumable recycling life
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11158628A (ja) * 1997-12-03 1999-06-15 Asuzakku Kk 成膜及び触刻装置用セラミック素材

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007321244A (ja) * 2006-05-30 2007-12-13 Applied Materials Inc 基板処理チャンバのためのリングアセンブリ
JP2013519790A (ja) * 2010-02-11 2013-05-30 アプライド マテリアルズ インコーポレイテッド 半導体処理のためのコーティング材料を備えたガス分配シャワーヘッド
WO2013146185A1 (ja) * 2012-03-29 2013-10-03 京セラ株式会社 環状部材およびそれを用いた成膜装置
JPWO2013146185A1 (ja) * 2012-03-29 2015-12-10 京セラ株式会社 環状部材およびそれを用いた成膜装置

Also Published As

Publication number Publication date
TW200305941A (en) 2003-11-01
KR20050014803A (ko) 2005-02-07
WO2003087427A2 (en) 2003-10-23
US20030188685A1 (en) 2003-10-09
WO2003087427A3 (en) 2004-04-01
CN1653207A (zh) 2005-08-10
MY137727A (en) 2009-03-31
TWI270934B (en) 2007-01-11
CN100529172C (zh) 2009-08-19

Similar Documents

Publication Publication Date Title
JP2006505687A (ja) 基板処理チャンバ用の要素及びその製造方法
KR100607790B1 (ko) 텍스처링된 내부 표면을 구비한 처리 챔버 부품 및 이의 제조 방법
JP5593490B2 (ja) プラズマエッチング反応器及びその構成部品並びに半導体基板を処理する方法
US6264852B1 (en) Substrate process chamber and processing method
CN100390922C (zh) 具有织构化的涂层的处理室部件的评估
KR20070115564A (ko) 기판 프로세싱 챔버용 링 조립체
CN100373557C (zh) 蚀刻量检测方法、蚀刻方法和蚀刻装置
JP4433614B2 (ja) エッチング装置
JP2002246368A (ja) ウェハー表面径方向均一プラズマを用いるウェハー処理システム
EP0764968B1 (en) Method for radiofrequency wave etching
JP3247079B2 (ja) エッチング方法及びエッチング装置
JP2004526293A (ja) チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
KR100290750B1 (ko) 플라즈마처리의 종점검출 방법 및 장치
JPH10335308A (ja) プラズマ処理方法
JP2021040076A (ja) 環状部材、基板処理装置及び基板処理装置の制御方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060404

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090608

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090908

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100531