CN1653207A - 用于衬底处理腔室的激光钻孔表面 - Google Patents

用于衬底处理腔室的激光钻孔表面 Download PDF

Info

Publication number
CN1653207A
CN1653207A CNA038103524A CN03810352A CN1653207A CN 1653207 A CN1653207 A CN 1653207A CN A038103524 A CNA038103524 A CN A038103524A CN 03810352 A CN03810352 A CN 03810352A CN 1653207 A CN1653207 A CN 1653207A
Authority
CN
China
Prior art keywords
gas
chamber
groove
diameter
parts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038103524A
Other languages
English (en)
Other versions
CN100529172C (zh
Inventor
H·王
Y·何
Y·林
E·C·韦尔登
C·斯托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1653207A publication Critical patent/CN1653207A/zh
Application granted granted Critical
Publication of CN100529172C publication Critical patent/CN100529172C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • B23K26/382Removing material by boring or cutting by boring
    • B23K26/384Removing material by boring or cutting by boring of specially shaped holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • B23K26/382Removing material by boring or cutting by boring
    • B23K26/389Removing material by boring or cutting by boring of fluid openings, e.g. nozzles, jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/02Iron or ferrous alloys
    • B23K2103/04Steel or steel alloys
    • B23K2103/05Stainless steel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • B23K2103/10Aluminium or alloys thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • B23K2103/14Titanium or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Abstract

衬底处理腔室包括具有暴露于腔室内部的表面的部件。暴露表面可具有彼此间隔开的凹槽的图形,每个凹槽具有开口、侧壁和底壁。通过在足够长的时间内向该结构的表面上的某个位置照射脉冲激光束,以使该位置上的该结构的一部分汽化,由此形成凹槽。该部件还可以是具有外壳的分配器,该外壳带有多个激光钻出的气体出口,气体出口具有直径不同的第一和第二开口,以便减少等离子体进入外壳中。激光钻出的气体出口还可具有倒圆边缘。

Description

用于衬底处理腔室的激光钻孔表面
背景
技术领域
本发明的实施例涉及一种用于处理衬底的衬底处理腔室。
背景技术
衬底处理腔室用于在处理气体中处理衬底,以便制造电子元件,例如集成电路和显示器。通常,腔室包括封闭处理区的密封壁,在该处理区中引入气体并且可以被赋能而形成等离子体。该腔室用于通过化学或物理汽相淀积在衬底上淀积材料,或者从衬底刻蚀材料,或者用于其它目的。该腔室还包括其它部件,如衬底支架、气体分配器、以及不同类型的屏蔽件。在衬底处理期间,在腔室中产生的处理残余物淀积在腔室内部的暴露表面上,如室壁和部件。
然而,当过厚的处理残余物积累在内部腔室表面上时,这些残余物通常会剥落下来,并污染被处理的衬底。当厚的溅射材料残余物积累在暴露的内部腔室表面上时,这在溅射工艺中尤其是个值得注意的问题。当表面温度的升高在积累的残余物和下面的结构之间产生热膨胀失配应力时,厚的残余物可能剥落下来。而且在等离子体增强和热CVD工艺中也是个问题,因为CVD淀积物会积累在内部腔室表面上。因此,通常情况下腔室经常被关闭,以便从部件上清洗掉积累的残余物。在高度竞争的电子工业中这种腔室停工是不希望的。
为了减少清洗周期,有时用涂层涂覆内部腔室表面,该涂层可增强处理残余物如溅射材料的附着性。这种表面涂层例如在由Lin等人在2001年6月27日提交的、发明名称为“CHAMBER HAVINGCOMPONENTS WITH TEXTURED SURFACES AND METHOD OFMANUFACTURE”的共同转让的系列号为09/895862美国专利申请中有介绍,这里引证该申请的全部内容供参考。同时这种内表面允许腔室工作更长的时间和提高不需要清洗的处理周期次数,最后,积累的淀积物和下涂层形成微裂纹或从该表面层离。腔室中的等离子体穿透这些微裂纹和被损伤的区域进而腐蚀腔室中的暴露表面。希望制造具有能容许较厚处理残余物和不需要清洗的处理周期次数提高的内部表面的腔室壁和部件。
在制造如用于向处理衬底的腔室输送气体或作为衬底下面的热传递气体的气体分配器等部件时出现了另一个问题。这些气体分配器中有一些具有高纵横比的大量极细的气体出口。例如,面对衬底的簇射头气体分配器可具有直径小于0.25mm(大约0.01英寸)、纵横比至少为4的尺寸的孔。大量细孔将穿过衬底表面更均匀地喷撒处理气体流,但是难以制造,尤其是由易碎陶瓷材料制造的气体分配器。用形成细孔的常规机械钻孔方法经常产生尺寸不均匀的或间隔不均匀的孔,或者具有端口式的粗糙边缘的孔,并且还可能在孔周围区域中产生微裂纹。当在腔室中形成的等离子体的带电气体物质进入气体分配器的孔时将出现另一个问题,从而在气体分配器中产生不希望的电弧放电或辉光放电。这些放电可能腐蚀这些孔。因此,需要一种在这些部件中制造细孔的方法,并且还希望制造减少不希望的电弧和辉光放电的孔。
发明内容
在一个方案中,用于衬底处理腔室的部件包括具有表面的结构,该表面至少部分地暴露于腔室中的等离子体,该暴露表面具有彼此间隔开的激光钻出的凹槽的图形,每个凹槽具有开口、侧壁和底壁。
用于衬底处理腔室的工具(kit)可包括多个这种部件。一种类型的工具包括屏蔽部件,例如包括淀积环、盖环、上部气体屏蔽件和下部气体屏蔽件。
该部件可以通过如下步骤来制造:形成具有至少部分地暴露于腔室中的等离子体的表面的结构;向该结构的表面的某个位置上引入脉冲激光束,从而使该结构的一部分汽化,以在该结构中形成凹槽;和将脉冲激光束引入到该结构的该表面的其它位置上,以在该结构的该表面中形成间隔开的凹槽的图形。
在另一方案中,用于向衬底处理腔室中分配处理气体的处理气体分配器包括外壳、向外壳提供处理气体的气体导管和在外壳中的多个激光钻孔气体出口,以便将处理气体分配到衬底处理腔室中。至少一些气体出口可以成形为具有第一开口和第二开口,第一开口在外壳内部并具有第一直径,第二开口在腔室内部并具有第二直径,第二直径小于第一直径。可替换地,或另外,至少一些气体出口可具有倒圆的边缘。
附图说明
参照下面的说明、所附权利要求书以及说明本发明实施例的附图将更好地理解本发明的这些特征、方案和优点。但是,应该理解的是,一般情况下在本发明中可以使用每个特征,而不仅仅是在某一特定附图中使用,并且本发明包括这些特征的任何组合,其中:
图1a是根据本发明实施例的处理腔室的示意图;
图1b是根据本发明的另一处理腔室中各个屏蔽件的示意侧视图,显示淀积环、盖环和上、下屏蔽件,所有这些部件都围绕置于腔室中的衬底支架上的衬底;
图2是处理腔室的部件中的激光束钻出的凹槽的侧剖视图;
图3a是在处理腔室的部件中形成的矩形凹槽的侧剖视图;
图3b是收集淀积材料的图3a的凹槽的侧剖视图;
图4a是在处理腔室的部件中形成的有角度的凹槽的侧剖视图;
图4b是收集淀积材料的图4a的凹槽的侧剖视图;
图4c是图4a的凹槽的俯视示意图;
图5是气体分配器中的阶梯式气体出口的侧剖视图;
图6是气体分配器中具有梯形横截面的气体出口的侧剖视图;和
图7是适于运转图1a中所示腔室的控制器的实施例的示意电路图。
具体实施方式
如图1a和1b所示,根据本发明的处理腔室100的实施例用于通过给气体加热或在等离子体中赋能来处理衬底110,从而向衬底110上淀积材料(CVD)、溅射材料(PVD)或从衬底110去除材料(刻蚀)。例如,可以通过用离子和中性粒子轰击衬底110而激励气体,从衬底110上溅射刻蚀材料,例如,清洗和制备用于下一处理的衬底110。在一种方式中,腔室100可用于通过下金属层的氧化来清洗形成在衬底110上的本地氧化物层(native oxide layer),以便可以进行下一金属淀积工艺,从而淀积可实现与衬底110上被清洗过的下金属层良好电接触的金属层。腔室100还可用于从靶121向衬底110上溅射材料。被处理的衬底110通常是半导体晶片或介质板,并且其上可具有半导体、介质、或导体材料。通常的半导体材料包括含硅材料,如元素硅或硅化合物以及砷化镓。介电材料包括二氧化硅、未掺杂的硅酸盐玻璃、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氮化硅、和TEOS淀积玻璃。导体材料包括铝、铜、硅化钨、硅化钛、硅化钴、钛/氮化钛、和钽/氮化钽。
处理腔室100的一部分或全部可以由金属或陶瓷材料制造。可用于制造处理腔室100的金属包括铝、阳极化处理的铝、“HAYNES242”、“Al-6061”、“SS304”、“SS316”和INCONEL,其中有时优选阳极化处理的铝。合适的陶瓷材料包括石英或氧化铝。例如,在一种方式中,处理腔室100包括包围腔室100中的处理区340的室壁120,腔室100由基本上可使RF波长穿透的陶瓷材料制造,如由石英制造。室壁120可包括侧壁130、底壁135、或腔室100的顶板140。顶板140可以是具有多半径拱形的圆顶状,如图1a所示,或者可以是平顶状,如图1b所示。壳体152用于防止处理腔室100外部的电场和磁场干扰腔室100的工作。
在图1b所示的实施例中,腔室100具有多个部件410,部件410包括具有表面195的屏蔽件150,表面195暴露于腔室100的内部以便屏蔽部件或腔室100的壁不受等离子体的影响,部件410接收在等离子体中形成的残余材料250,或者向衬底110引导等离子体或溅射物质,或使其远离衬底110。屏蔽件150可包括例如在衬底110周围的环形淀积环390和在衬底110周围的盖环391。屏蔽件150还可包括分别在衬底110和支架160周围的上、下气体屏蔽件392、394。屏蔽件150还可覆盖腔室的一部分内壁,如与侧壁130或顶板140相邻设置的衬垫(liner)395。屏蔽件150可以由铝、钛、不锈钢和氧化铝制成。
用于腔室100的工具是一套部件410,如屏蔽件150,例如它包括淀积环390、盖环391、和上、下气体屏蔽件392、394;但是还可以是一套其它部件,这对于本领域技术人员来说是显而易见的。该工具一般作为一套一个或多个腔室部件410出售,这些部件410有时候必须更换、维修或清洗。例如,包括屏蔽件150如淀积环390和盖环391的一套屏蔽部件必须在腔室中处理了大量衬底之后经常清洗。有时在必须更换一套腔室部件410之前,在腔室中将处理100个、甚至500个衬底。这一套部件还可以是例如通过剥离掉处理残余物和残余涂层并在部件410上施加新涂层而必须重新整修的部件410。
在本发明的一个方案中,使用激光束钻孔机300在衬底处理腔室100的部件410的表面195钻出的凹槽200的图形,如图2所示。部件410的表面195可暴露于腔室100的处理区340中的气体或等离子体。每个凹槽200具有开口230、侧壁210、211和底壁220。部件410可包括在表面195上的金属,例如铝、不锈钢、氧化铝、或钛。例如,部件410可以是上述屏蔽件150中的一个,并且对于包括一套屏蔽件的部件尤其有用。
部件410的表面195中的激光钻出的凹槽200提高了等离子体中的处理残余物250的附着性,如图3a和3b所示。凹槽200包括在结构190中的开口,在这些开口中可收集处理残余物250,并且处理残余物250通过这些开口可牢固附着在结构190上。这种织构表面(texturedsurface)195提供处理残余物250的高附着性(high level of adhesion)。通过牢固地附着这些处理残余物250上,该织构表面195基本上防止了处理残余物250从部件410上剥落下来。处理残余物250和结构190之间的机械锁紧力(locking force)取决于几个因素,包括凹槽200的间隔、凹槽200的外形、和织构表面195的局部曲率。
在一个实施例中,凹槽200的侧壁210、211相对于底壁220是倾斜的,如图4a和4b所示。例如,侧壁210、211可以相对于结构190的平坦表面195以大约60度到大约85度的角度θ3倾斜。在一个实施例中,侧壁210、211倾斜,以便凹槽200的尺寸随着凹槽200的深度增加而增加。凹槽200的倾斜侧壁210、211导致横截面具有在进入腔室的凹槽200的开口230处的第一尺寸和在凹槽200的底壁220处的第二尺寸,第二尺寸大于第一尺寸。例如,第一尺寸可以是至少大约20微米,第二尺寸可以是至少大约30微米。
凹槽200还可具有如图4c所示的形状,其中如实线所示的凹槽的开口230基本上是圆形的,如虚线所示的凹槽200的底部220基本上是卵形或甚至为椭圆形的。具有锥形横截面的这种楔形凹槽200允许处理残余物250填充凹槽200,并保持更牢固地附着在表面195上。楔形凹槽200将残余物250牢固地保持在表面195上,因此在凹槽200的底部220上积累的较大形状的残余物250不可能容易地穿过较窄尺寸的开口230,因此更好地用于将残余物250更牢固地保持在表面195上。因此,倾斜壁的凹槽200提供改进的处理残余物250的保持力。由于处理残余物250进入凹槽200和在凹槽200中固化,并且由于凹槽的开口是随着凹槽200深度增加而变宽的锥形,因此固化的处理残余物250堵塞(lodged in)在凹槽200中,如图4b所示。凹槽200内的固化的处理残余物250牢固地粘接到结构190的表面195上的残余物250上,因此也将表面残余物250牢固地固定于结构190上。
在一个改型中,部件410的暴露表面195可以基本上完全被凹槽200的图形覆盖,以便形成构造表面。该图形可以包括例如凹槽200的规则间隔的阵列,凹槽200之间的间隔被选择成使处理残余物250被织构表面195的吸收和保持最佳化。例如,如果处理残余物250集中在表面195上,凹槽200可以在整个暴露表面195上更致密地间隔开,由此允许该表面接收和保持更大量的残余物。
再参照图2,激光束钻孔机300将激光束310引导到暴露表面195上,使暴露表面195的材料汽化,在暴露表面195中有效地产生凹槽200并使其加深。在一个实施例中,激光束钻孔机300包括激光束发生器320,它产生具有根据时间调整的强度的脉冲激光束310。脉冲激光束310使用峰值脉冲功率以提高材料335的汽化或液化,同时使热损失最小化,以便更好地控制凹槽200的形状。激光能量连续地分离材料335的分子层而不向材料传递多余的热量。激光束钻孔机300优选包括例如准分子激光器,该准分子激光器产生具有小于约360纳米的波长、例如大约355纳米波长的紫外激光束。使用波长大于400纳米的激光束可能导致在工件中产生大量的热量,从而导致产生不良的表面形态和潜在的微裂纹。合适的准分子激光器可在商业上获得,例如由新罕布什尔州纳舒厄市(Nashua,New Hampshire)的Resonetics公司制造的准分子激光器。
激光束钻孔机300可通过改变一个或多个峰值脉冲功率、脉冲持续时间和脉冲频率来控制。脉冲激光束310在足够大的峰值功率值工作,以便去除经受激光束310的材料的所希望的厚度。例如,为了形成织构表面,脉冲激光束310在足够大的预选功率值工作,以便形成具有底壁220的凹槽200,底壁220终止于结构190中,而不用钻透结构190的整个厚度。但是,为了形成凹槽295,激光束功率值设成能贯穿结构190的厚度进行钻孔。因此,激光束钻孔机300产生能在结构190的表面上形成凹槽200或贯穿结构190延伸的凹槽200的激光束。激光束钻孔机300通常是高功率、脉冲UV激光器系统,它能精确地钻出所希望结构的孔,并且可以被控制以设定凹槽200的直径、深度、倾斜角、锥形角和倒圆程度(rounding level)。
激光束钻孔机300提供具有用于钻孔的高达大约100的高纵横比的脉冲激光束310。激光束310在结构190上在将形成孔处聚焦成一点,以便通过将该点的材料加热到足够高的温度而将该点的材料转换成液相和/或汽相。通过从该部位去除液相和汽相,形成所希望的孔结构。例如,UV脉冲准分子激光器可以在从大约10到大约30纳秒的脉宽(每个脉冲的时间)、从大约10到大约400瓦的平均功率值以及从大约100Hz到大约10000Hz的脉冲频率下工作。在10到30纳秒脉冲激光工作期间,材料足够快速地从固相转换到液相和汽相,而实际上没有时间使热量传递到结构190的主体内。因此,高功率UV脉冲激光束有效地使在激光微型加工(micro-machining)处理期间受热影响的结构190的区域的尺寸最小,由此使局部微裂最少化。
激光束钻孔机300包括光学系统330,该光学系统330可包括自动聚焦机构(未示出),该自动聚焦机构确定激光束310的源和结构190之间的距离,并相应地使激光束310聚焦。例如,自动聚焦机构可从结构190反射光束并探测反射的光束,以便确定到结构190的表面195的距离。例如可以通过干涉测量法分析被探测的光束。这种自动聚焦机构通过更适当地聚焦激光束310而提供改进的激光钻孔质量,如当结构190的表面195不平时。
激光束钻孔机300还可包括向结构190上的钻孔区引导气流355的喷气源(gas jet source)342。该气流从被激光钻孔的区域上去除汽化材料335,以便提高钻孔的速度和均匀性并保护聚焦透镜330不受汽化材料的影响。气体可包括例如惰性气体。喷气源342包括距离结构190为较远距离(some standoff distance)的喷嘴345,以便向结构190上聚集和引导气体流。
将被激光钻孔的结构190通常安装在可移动的工作台上,以便允许激光束钻孔机300定位在该结构的表面上的不同点上,从而在其中钻出凹槽200。例如,合适的工作台可以是能以±0.5微米的分辨率(resolution)和50mm/秒的最大速度在X、Y、Z方向以±1微米递增运动的4-5轴移动系统。
衬底处理腔室100的部件410的制造包括形成结构190的开始步骤。然后通过向结构190的表面195上的某个位置照射脉冲激光束310,使结构190的一部分汽化,由此经激光钻孔形成凹槽200。脉冲激光束310照射到结构190的表面195上的另一个位置上,使结构190的另一部分汽化并在其中形成另一凹槽200。重复这些步骤,从而在结构190的表面195中形成凹槽200的图形。重复进行在结构190中形成凹槽200的这个过程,直到基本上用凹槽200全部覆盖暴露表面195为止。例如,为了形成具有倾斜侧壁210、211的凹槽200,如图4a和4b所示,以入射角θ2、θ3将脉冲激光束310照射到结构190的表面195上,其中入射角θ2、θ3选择成可以形成相对于结构190的表面195具有大约60到大约85度的角度θ的倾斜侧壁210、211。例如,参见图4a,可以以大约60度到大约85度的入射角θ2将第一激光束311a照射到结构190的表面195上,形成结构190的侧壁211,然后以大约95度到大约120度的入射角θ3照射到结构190的表面195上,从而形成凹槽200的另一倾斜侧壁210,如第二激光束311b所示。
参见图1a,本发明的另一方案包括气体分配器260,它用于向腔室100的处理区340中提供处理气体,用于处理衬底110。在刻蚀工艺中,气体分配器260向处理区340中提供刻蚀气体,而在淀积工艺中,气体分配器260提供淀积气体。在溅射刻蚀工艺中,刻蚀气体可包括惰性气体,如氩或氙气,它们不会与衬底材料产生化学作用。气体分配器260连接到处理气体供给装置280,以便含有在输送到腔室100内部之前的处理气体。
通常,气体分配器260包括包围腔126的外壳125,以便在将气体传送到处理区340之前从气体供给装置280接收和保持处理气体。提供气体导管262以从气体供给装置280向外壳125内传输处理气体。外壳125可以介于处理气体供给装置280和处理区340之间,如包围用于在衬底110上方释放气体的气体释放簇射头的内腔的壳体。外壳125包括连接在一起以形成腔126的下壁、侧壁、和上壁。外壳125的至少一个壁具有暴露于腔室100的处理区340中的环境的表面411。每一个壁可以是分离结构,或这些壁可以制造成一体结构。外壳125可由铝、氮化铝、氧化铝、碳化硅或石英制成。
外壳125中的多个激光钻出的气体出口265向腔室100的处理区340分布处理气体。任选地,激光钻出的气体出口265在气体沟槽盖266中间隔开排列,以便向腔室100的处理区340中均匀地分配处理气体流。例如,外壳125可以位于距离处理区340的气体沟槽盖266的相反侧(未示出)。气体出口265位于气体沟槽盖266中,以便在腔室100中提供均匀分散的处理气体。例如,气体出口265可以位于衬底110的周边周围,以便在衬底110附近引入处理气体。气体分配器260可包括大约1个到大约20000个气体出口265。
至少一些气体出口265是锥形的,以便允许处理气体进入处理区340,同时防止处理气体反向进入外壳125中。单独的气体出口265包括在外壳125内的具有第一直径(d1)的第一开口和在外壳125外部的具有第二直径(d2)的第二开口,以便气体出口265成锥形。通常,第二直径(d2)小于第一直径(d1)。例如,第二直径(d2)可以小于约1mm(大约0.04英寸),如大约0.25mm(大约0.01英寸);第一直径(d1)可以小于大约2.5mm(大约0.10英寸),如大约2.3mm(大约0.09英寸)。
形成带有气体出口265的气体分配器260包括形成结构264的初始步骤,该结构是外壳125的至少一部分并在其上具有表面411。例如,结构264可以是气体沟槽盖266的一部分。脉冲激光束310照射到结构264的表面411上,从而在其中通过激光钻出气体出口165。在激光钻孔过程中将聚焦光束310的横截面的几何形状设定为第一或第二直径(d1、d2)。在激光钻孔过程中可以调整激光束310的光束尺寸(宽度),从而形成锥形气体出口265。例如,可通过关闭和打开光束源前部的孔或者通过散焦或聚焦光束以改变其尺寸来调整光束尺寸。
锥形气体出口265的第二直径(d2)充分小于第一直径(d1),以便限制在腔室的处理区340中产生的等离子体进入外壳125中。例如,第一直径(d1)可以为至少大约为1.3mm和第二直径(d2)可以小于大约0.3mm。锥形气体出口265比具有阶梯形孔的常规孔更有利,并在机械加工期间和阳极化处理之后减少孔中的微裂纹。
在另一实施例中,气体出口265具有阶梯形的横截面,如图5所示,出口265的一部分长度具有第一直径(d1),一部分长度具有第二直径(d2)。通过将结构190暴露于具有第一直径的第一激光束310以达到第一深度,然后暴露于具有第二直径的第二激光束310以达到第二深度,由此制造这个阶梯形出口。
在优选实施例中,气体出口265包括基本上为连续锥形的横截面,如图6所示。该横截面连续地和平滑地形成锥形,以便允许处理气体通过气体出口265而不会被突然堵塞。这个平滑的锥形孔可以通过如下步骤来制造:将结构190暴露于具有随着时间变化直径连续减小的光束尺寸的激光束310,同时脉动和保持定位于结构190的一个斑点上。连续锥形的横截面是有利的,因为它没有像阶梯形横截面那样的锐利的过渡边缘,而这种锐利的过渡边缘在制造期间容易产生微裂纹。
气体出口265可进一步包括具有关于第一直径(d1)或第二直径(d2)的平滑外形的倒圆边缘412。倒圆边缘412允许处理气体平滑地流出气体出口265而不会因纽结边缘(kinked edge)产生气动堵塞。这使处理气体更有效地进出气体出口265。为实现关于第一直径(d1)或第二值(d2)的倒圆边缘412,例如通过改变激光束310前部的孔尺寸,在激光钻孔过程中将激光束310的光束尺寸从较小尺寸调整到稍大尺寸。有利地,激光束倒圆边缘在边缘周围基本上不存在微裂纹。常规机械钻孔方法在它们实现孔中的平滑倒圆边缘的能力方面受到限制,而且机械力经常会在机械加工边缘周围产生微裂纹,尤其是在易碎或非延展性材料如陶瓷材料中。
使用激光束在腔室部件410中钻出凹槽200的图形或在气体分配器260中钻出气体出口265可实现比机械钻孔更高的精度和更小的直径。此外,由于不存在机械钻(mechanical bit)和结构190、264之间的接触,结构190、264不带毛刺,因此激光束钻孔机300可以持续长时间使用并且更可靠。当上述凹槽200或气体出口265具有多个直径时,激光钻孔尤其有利,因为激光直径容易改变。
再参考图1a,处理腔室100还包括用于控制进入腔室100的处理气体流的一个或多个质流控制器(未示出)。提供排气装置270以便从腔室100排放气体,如用过的处理气体。排气装置270可包括接收气体的泵送通道(未示出)、控制腔室100中的处理气体的压力的节流阀(未示出)以及一个或多个排气泵(未示出)。排气泵可包括例如机械泵或涡轮泵,如350l/s Leybold涡轮泵。排气装置270还可包含用于从处理气体去除不希望的气体的系统。
腔室100中的气体组分和压力通常通过将腔室100的处理区340抽真空使其真空度下降到至少大约10-7托,然后用氩气填充腔室100使腔室内的压力达到几毫托来实现。在这些气体压力,衬底110可在腔室100内被抬起。在一个实施例中,处理腔室100包括把手(未示出),该把手可由操作者旋转以调整衬底110在处理腔室100中的高度。
任选地,处理腔室100还可包括气体激励器(gas energizer)331,以便将气体激励成等离子体。气体激励器331将能量耦合到处理腔室100的处理区340(未示出)或相对于处理腔室100为上游的远区中的处理气体上。在一种方式中,气体激励器331包括具有一个或多个电感器线圈360的天线350。电感器线圈360可具有关于处理腔室100的中心对称的圆形。通常,天线350包括一个或多个螺旋管,它的形状和位置使其可以提供耦合到处理气体的强电感通量(inductive flux)。当天线350位于处理腔室100的顶板140附近时,顶板140的相邻部分可由介电材料制成,如二氧化硅,它可以使由天线350发射的电磁辐射如RF功率透过。天线电源370以典型为大约50KHz到大约60MHz、更典型为大约400KHz的频率和以从大约100到大约5000瓦的功率值给天线350提供例如RF功率。还提供RF匹配网络(未示出)以使RF功率与处理气体的阻抗匹配。在另一种方式中,气体激励器331包括在处理区340中产生电场以激励处理气体的电极205。在这种方式中,电极电源240给电极205提供例如频率为大约50KHz到大约60MHz、更典型为13.56MHz的功率。可替换或另外,气体激励器331可包括微波气体激励器(未示出)。
处理腔室100包括用于在处理腔室100中支撑衬底110的衬底支架160。衬底支架160可包括被具有衬底接收表面180的介电层170覆盖的电极205。电极电源240给电极205提供DC或AC偏置电压,例如RF偏置电压,以便激励气体。在电极205的下面是介质板191,如石英板,以便使电极205与腔室100的壁120电绝缘,腔室100的有些壁可以是电接地的或浮置的,或者相对于电极205被电偏置。电偏置电极205允许通过激励溅射离子和向衬底110加速溅射离子而刻蚀衬底110。导电的至少一部分壁120优选接地,以便相对于接地或浮置的腔室壁120而在衬底110上保持负电压。任选地,支架160还可包括能将衬底110静电保持在支架160上的静电吸盘(electrostatic chuck)(未示出),或者DC电压可以施加于电极205上以便产生静电吸引力。
衬底支架160的电极205还可包括贯穿其延伸的一个或多个通道(未示出),如提供气体通道(未示出)以便从热传递气体供给装置(未示出)向表面180供给热传递气体。通常是氦的热传递气体,促进衬底110和支架160之间的热传递。其它通道(未示出)可以允许提升杆(lift pin)(未示出)穿过电极205延伸,用于通过提升机构(liftmechanism)(未示出)装载或卸载衬底110。处理腔室100还可包括支撑提升机构162,用于在处理腔室100中升高或降低支架160,从而改进或改变衬底110的处理特性。
处理腔室100可包括附加系统,例如包括一个或多个探测器(未示出)的处理监视系统(未示出),所述探测器用于在处理腔室100工作期间探测或监视处理条件,或者监视正在衬底110上进行的处理。探测器例如包括,但不限于:辐射传感器件(未示出),如光电倍增器或光学探测系统;气体压力传感器件(未示出),如压力测量装置,例如压力计;温度传感器件(未示出),如热电偶或RTD;安培计和伏特计(未示出),用于测量施加于腔室部件410的电流和电压;或能测量处理腔室100中的处理条件和提供相对于可测量处理条件而改变的输出信号如电信号的任何其它器件。例如,可采用处理监视系统确定在衬底110上被处理的层的厚度。
控制器480通过向和从各个腔室部件和系统传输和接收电信号而控制腔室100的操作。例如,由处理监视系统测量的处理腔室100中的处理条件可以作为电信号输送给控制器480,然后在该信号达到阈值时改变处理条件。在一个实施例中,控制器480包括包含电路的电子硬件,包括适合于操作处理腔室100的集成电路。一般情况下,控制器480适于接受数据输入、运行算法、产生有用的输出信号,并且还用于探测来自探测器和其它腔室部件410的数据信号,并监视或控制处理腔室100中的处理条件。例如,如图7所示,控制器480可包括(i)包括中心处理单元500(CPU)的计算机,它通过外围控制元件互连到存储系统;(ii)操作处理腔室100的特定部件410的专用集成电路(ASIC)(未示出);和(iii)伴随有合适的支持电路的控制器接口506。典型的中心CPU500包括PowerPCTM,PentiumTM和其它这种处理器。ASIC可以为特定任务而设计和编程,如从处理腔室100收回数据或其它信息,或者操作特定腔室部件410。在特殊信号处理任务中使用控制器接口板,如为了来自处理监视系统的信号和向CPU500提供数据信号。典型的支持电路包括例如协处理器(co-processor)、时钟电路(clock circuit)、高速缓冲存储器(cache)、电源和与CPU500通信的其它公知部件。例如,CPU500通常与随机存取存储器(RAM)510、只读存储器(未示出)、软盘驱动器491、硬盘驱动器492、和本领域公知的其它存储器件结合工作。RAM510可用于储存在进行处理期间在当前系统中使用的计算机编程代码600。控制器接口506将控制器480连接到其它腔室部件如气体激励器331上。CPU500的输出连通到显示器530或其它通信装置。输入装置540允许操作者向控制器480中输入数据,以便控制操作或改变控制器480中的软件。例如,操作者和计算机系统之间的接口可以是阴极射线管(CRT)监视器(未示出)和光笔(light pen)(未示出)。光笔利用笔尖中的光传感器探测由CRT显示器发射的光。为了选择特殊的荧光屏或功能,操作者触摸CRT监视器的指示区域并推动笔上的按钮。被接触的区域改变其颜色或新的菜单或显示屏幕以确定光笔和CRT显示器之间的通信。还可使用其它器件如键盘、鼠标或点击通信装置来实现与控制器480的通信。在一个实施例中,使用两个监视器(未示出),一个监视器安装在洁净室壁中供操作者使用,另一个监视器安装在该壁的后面供维护技术人员使用。两个监视器(未示出)同时显示相同的信息,但是只起动一个光笔。
尽管前面参照某些优选实施例详细地介绍了本发明,但是其它实施方式也是可行的。例如,本发明可用于其它处理腔室,如化学汽相淀积(CVD)处理腔室或刻蚀腔室。处理腔室100还可包括其它等效结构,这对本领域技术人员来说是显而易见的。作为另一例子,处理腔室100的一个或多个部件410可包括其它激光钻孔结构。因此,所附权利要求书应该不限于这里包含的优选方式的说明。

Claims (34)

1、一种用于衬底处理腔室的部件,该部件包括具有至少部分地暴露于所述腔室中的表面的结构,所述表面具有彼此间隔开的激光钻出的凹槽的图形,每个凹槽具有开口、侧壁和底壁。
2、根据权利要求1所述的部件,其中所述表面基本上完全由所述凹槽覆盖。
3、根据权利要求1所述的部件,其中所述凹槽包括相对于所述表面倾斜的侧壁。
4、根据权利要求3所述的部件,其中所述侧壁相对于所述表面以大约60度到大约85度的角度倾斜。
5、根据权利要求1所述的部件,其中所述开口具有第一尺寸,所述底壁具有第二尺寸,所述第一尺寸小于所述第二尺寸。
6、根据权利要求1所述的部件,其中所述结构是屏蔽件。
7、一种包括根据权利要求1所述的部件的衬底处理腔室,其还包括:
(a)衬底支架;
(b)向所述腔室中提供气体的气体分配器;
(c)激励所述气体的气体激励器;和
(d)从所述腔室排出气体的排气装置。
8、一种制造用于衬底处理腔室的部件的方法,该方法包括:
(a)形成具有至少部分地暴露于腔室中的表面的结构;
(b)用脉冲激光束照射所述结构的表面上的某个位置,从而使所述结构的一部分汽化,以在所述结构中形成凹槽;和
(c)在所述结构的所述表面上的其它位置重复进行步骤(b),以在所述结构的所述表面上形成彼此间隔开的凹槽的图形。
9、根据权利要求8所述的方法,其中步骤(b)包括将所述脉冲激光束照射到所述结构的所述表面上,从而形成具有倾斜侧壁的凹槽。
10、根据权利要求8所述的方法,其中步骤(b)包括将所述脉冲激光束照射到所述结构的所述表面上,以便所述脉冲激光束相对于所述结构的所述表面形成(i)大约60度到大约85度或者(ii)大约95度到大约120度的入射角。
11、根据权利要求8所述的方法,其中在步骤(b)中,脉冲激光器的功率值设定得足够大,以便形成具有终止于所述结构中的底壁的凹槽。
12、根据权利要求8所述的方法,其中重复进行步骤(b),直到暴露表面基本上完全由凹槽覆盖为止。
13、根据权利要求8所述的方法,其中步骤(b)包括向所述结构的所述表面照射所述脉冲激光束以形成凹槽,所述凹槽包括具有第一尺寸的开口和具有第二尺寸的底壁,所述第一尺寸小于所述第二尺寸。
14、根据权利要求8所述的方法,其中所述部件具有适合于屏蔽衬底处理腔室的形状。
15、一种用于向衬底处理腔室中分配处理气体的处理气体分配器,该气体分配器包括:
(a)外壳;
(b)向所述外壳提供处理气体的气体导管;和
(c)在所述外壳中的多个激光钻出的气体出口,所述气体出口用于将所述处理气体分配到所述衬底处理腔室中,至少一些所述气体出口包括在所述外壳内部的具有第一直径的第一开口和在所述衬底处理腔室内部的具有第二直径的第二开口,所述第二直径小于所述第一直径。
16、根据权利要求15所述的气体分配器,其中所述气体出口包括基本为连续锥形的横截面。
17、根据权利要求15所述的气体分配器,其中所述第一或第二开口具有倒圆的边缘。
18、根据权利要求15所述的气体分配器,其中所述第二直径充分小于所述第一直径,以便限制所述腔室中形成的等离子体进入所述外壳中。
19、根据权利要求18所述的气体分配器,其中所述第二直径小于约0.3mm,并且所述第一直径至少约为1.3mm。
20、根据权利要求15所述的气体分配器,其中所述外壳包括铝、氮化铝、氧化铝、碳化硅或石英。
21、一种包括根据权利要求15所述的气体分配器的衬底处理腔室,该腔室还包括:
(1)面对所述气体分配器的衬底支架;
(2)激励由所述气体分配器引入到所述腔室中的气体的气体激励器;和
(3)从所述腔室排出气体的排气装置。
22、一种形成权利要求15所述的气体分配器的方法,该方法包括以下步骤:
(a)形成成为所述外壳的至少一部分的结构;和
(b)将脉冲激光束照射到所述结构的表面上,以便激光钻出贯穿它的所述气体出口。
23、根据权利要求22所述的方法,其中步骤(b)包括将所述脉冲激光束的所述光束尺寸从所述第一直径调整到所述第二直径,或从所述第二直径调整到所述第一直径。
24、根据权利要求22所述的方法,其中步骤(b)包括连续调整所述脉冲激光束的所述光束尺寸,以便形成具有基本为连续锥形的横截面的气体出口。
25、根据权利要求22所述的方法,其中步骤(b)包括调整所述脉冲激光束的所述光束尺寸,以便倒圆所述气体出口的边缘。
26、一种用于向衬底处理腔室分配处理气体的处理气体分配器,该气体分配器包括:
(a)外壳;
(b)向所述外壳提供处理气体的气体导管;和
(c)在所述外壳中的多个激光钻出的气体出口,以便将所述处理气体分配到所述衬底处理腔室中,至少一些所述气体出口具有倒圆的边缘。
27、根据权利要求26所述的气体分配器,其中所述气体出口包括在所述外壳内部的具有第一直径的第一开口和在所述衬底处理腔室内部的具有第二直径的第二开口,所述第二直径小于所述第一直径。
28、根据权利要求26所述的气体分配器,其中所述气体出口包括基本为连续锥形的横截面。
29、一种包括权利要求26所述的气体分配器的衬底处理腔室,该腔室还包括:
(1)面对所述气体分配器的衬底支架;
(2)激励由所述气体分配器引入到所述腔室中的所述气体的气体激励器;和
(3)从所述腔室排出气体的排气装置。
30、一种用于衬底处理腔室的工具,该工具包括多个部件,每个部件包括具有至少部分地暴露于所述腔室中的表面的结构,所述表面具有彼此间隔开的激光钻出的凹槽的图形,每个凹槽具有开口、侧壁和底壁。
31、根据权利要求30所述的工具,其中所述表面基本上完全由所述凹槽覆盖。
32、根据权利要求30所述的工具,其中所述部件是屏蔽件。
33、根据权利要求30所述的工具,其中所述部件包括淀积环、盖环、上部气体屏蔽件和下部气体屏蔽件。
34、一种用于衬底处理腔室的工具,其中所述工具包括多个部件,所述部件包括淀积环、盖环、上部气体屏蔽件和下部气体屏蔽件,每个部件包括具有至少部分地暴露于所述腔室中的表面的结构,所述表面基本上完全由彼此间隔开的激光钻出的凹槽的图形覆盖,每个凹槽具有开口、侧壁和底壁。
CNB038103524A 2002-04-08 2003-04-04 用于衬底处理腔室的激光钻孔表面 Expired - Fee Related CN100529172C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/119,382 2002-04-08
US10/119,382 US20030188685A1 (en) 2002-04-08 2002-04-08 Laser drilled surfaces for substrate processing chambers

Publications (2)

Publication Number Publication Date
CN1653207A true CN1653207A (zh) 2005-08-10
CN100529172C CN100529172C (zh) 2009-08-19

Family

ID=28674579

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038103524A Expired - Fee Related CN100529172C (zh) 2002-04-08 2003-04-04 用于衬底处理腔室的激光钻孔表面

Country Status (7)

Country Link
US (1) US20030188685A1 (zh)
JP (1) JP2006505687A (zh)
KR (1) KR20050014803A (zh)
CN (1) CN100529172C (zh)
MY (1) MY137727A (zh)
TW (1) TWI270934B (zh)
WO (1) WO2003087427A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102922142A (zh) * 2012-10-30 2013-02-13 张立国 一种激光加工的方法
CN101600534B (zh) * 2006-10-21 2014-05-14 谬儿鲍尔股份有限公司 在可移动条带上设置贯通开口的装置和方法
CN104133262A (zh) * 2014-07-16 2014-11-05 深圳市华星光电技术有限公司 滤色板、滤色板制作方法及液晶显示器
CN107953031A (zh) * 2017-12-26 2018-04-24 武汉力博物探有限公司 一种激光切割头
CN108878355A (zh) * 2017-05-09 2018-11-23 株式会社迪思科 加工方法
CN109128532A (zh) * 2018-09-27 2019-01-04 广东工业大学 一种多工位即时清洗的激光阵列微孔加工方法
CN109457224A (zh) * 2017-09-06 2019-03-12 台湾积体电路制造股份有限公司 制程零件、半导体制造设备及半导体制造方法
CN112513319A (zh) * 2018-08-10 2021-03-16 应用材料公司 多阴极沉积系统
CN112805812A (zh) * 2018-10-12 2021-05-14 应用材料股份有限公司 具有深度调制角度光栅的光学组件及其形成方法

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
KR100673597B1 (ko) * 2004-09-21 2007-01-24 (주)아이씨디 플라즈마 챔버
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070040501A1 (en) * 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100916005B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR100916006B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
US7982161B2 (en) * 2008-03-24 2011-07-19 Electro Scientific Industries, Inc. Method and apparatus for laser drilling holes with tailored laser pulses
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
WO2013146185A1 (ja) * 2012-03-29 2013-10-03 京セラ株式会社 環状部材およびそれを用いた成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9101954B2 (en) * 2013-09-17 2015-08-11 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107580633B (zh) * 2015-05-11 2020-07-14 西屋电气有限责任公司 可应用于激光喷丸操作中的输送装置和相关方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10434604B2 (en) 2016-10-14 2019-10-08 Applied Materials, Inc. Texturizing a surface without bead blasting
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11123837B2 (en) 2017-12-22 2021-09-21 Applied Materials, Inc. Method of removal of sharp corners from diffuser plate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102515494B1 (ko) * 2018-04-17 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 비드 블라스팅을 이용하지 않는 표면의 텍스처라이징
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US20210310122A1 (en) * 2020-04-03 2021-10-07 Applied Materials, Inc. Method of forming holes from both sides of substrate
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11819948B2 (en) * 2020-10-14 2023-11-21 Applied Materials, Inc. Methods to fabricate chamber component holes using laser drilling
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220111468A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Methods to fabricate chamber component using laser drilling
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199373A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Methods to eliminate of deposition on wafer bevel and backside
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4412133A (en) * 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) * 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US4665462A (en) * 1985-06-17 1987-05-12 The Simco Company, Inc. Ionizing gas gun for balanced static elimination
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US5324653A (en) * 1988-02-11 1994-06-28 Gist-Brocades N.V. Recombinant genetic means for the production of serine protease muteins
US5260156A (en) * 1988-04-26 1993-11-09 Ricoh Company, Ltd. 1,3-pentadiene derivatives and electrophotographic photoconductor using the same
JP2665242B2 (ja) * 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
JP2779950B2 (ja) * 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) * 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5178266A (en) * 1991-12-18 1993-01-12 Villa Donald J Carrier for beverage cans that breaks apart upon can removal
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) * 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5948288A (en) * 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6104834A (en) * 1996-08-01 2000-08-15 Ricoh Company Limited Matching CCITT compressed document images
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
EP0954620A4 (en) * 1997-01-16 2002-01-02 Bottomfield Layne F COMPONENTS FOR VACUUM EVAPORATION METALLIZATION AND RELATED METHODS
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
JPH11158628A (ja) * 1997-12-03 1999-06-15 Asuzakku Kk 成膜及び触刻装置用セラミック素材
FR2781707B1 (fr) * 1998-07-30 2000-09-08 Snecma Procede d'usinage par laser excimere de trous ou de formes a profil variable
US6171453B1 (en) * 1998-12-02 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd Alignment mark shielding ring and method of using
JP4141560B2 (ja) * 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
EP1049133A3 (en) * 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6699375B1 (en) * 2000-06-29 2004-03-02 Applied Materials, Inc. Method of extending process kit consumable recycling life
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101600534B (zh) * 2006-10-21 2014-05-14 谬儿鲍尔股份有限公司 在可移动条带上设置贯通开口的装置和方法
CN102922142A (zh) * 2012-10-30 2013-02-13 张立国 一种激光加工的方法
CN104133262A (zh) * 2014-07-16 2014-11-05 深圳市华星光电技术有限公司 滤色板、滤色板制作方法及液晶显示器
CN108878355A (zh) * 2017-05-09 2018-11-23 株式会社迪思科 加工方法
CN108878355B (zh) * 2017-05-09 2023-12-15 株式会社迪思科 加工方法
CN109457224B (zh) * 2017-09-06 2021-06-15 台湾积体电路制造股份有限公司 制程零件、半导体制造设备及半导体制造方法
CN109457224A (zh) * 2017-09-06 2019-03-12 台湾积体电路制造股份有限公司 制程零件、半导体制造设备及半导体制造方法
CN107953031A (zh) * 2017-12-26 2018-04-24 武汉力博物探有限公司 一种激光切割头
CN112513319A (zh) * 2018-08-10 2021-03-16 应用材料公司 多阴极沉积系统
CN112513319B (zh) * 2018-08-10 2023-08-18 应用材料公司 多阴极沉积系统
CN109128532B (zh) * 2018-09-27 2020-07-28 广东工业大学 一种多工位即时清洗的激光阵列微孔加工方法
CN109128532A (zh) * 2018-09-27 2019-01-04 广东工业大学 一种多工位即时清洗的激光阵列微孔加工方法
CN112805812A (zh) * 2018-10-12 2021-05-14 应用材料股份有限公司 具有深度调制角度光栅的光学组件及其形成方法
CN112805812B (zh) * 2018-10-12 2024-03-29 应用材料股份有限公司 在基板中形成斜角结构的方法及形成光学光栅的方法

Also Published As

Publication number Publication date
TWI270934B (en) 2007-01-11
WO2003087427A2 (en) 2003-10-23
MY137727A (en) 2009-03-31
TW200305941A (en) 2003-11-01
US20030188685A1 (en) 2003-10-09
JP2006505687A (ja) 2006-02-16
WO2003087427A3 (en) 2004-04-01
CN100529172C (zh) 2009-08-19
KR20050014803A (ko) 2005-02-07

Similar Documents

Publication Publication Date Title
CN100529172C (zh) 用于衬底处理腔室的激光钻孔表面
JP6261974B2 (ja) 基板処理構成部品からの残留物の除去
KR101903831B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN100390922C (zh) 具有织构化的涂层的处理室部件的评估
EP1405330B1 (en) Process chamber components having textured internal surfaces and method of manufacture
US7064812B2 (en) Method of using a sensor gas to determine erosion level of consumable system components
JP5371871B2 (ja) 低汚染プラズマ反応室の構成部品の製造方法
CN101422088B (zh) 用于减少等离子体处理系统中的副产品沉积的方法和装置
US6933508B2 (en) Method of surface texturizing
CN2893917Y (zh) 具有粘附处理残余物的表面的构件及包括其的衬底处理室
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
KR20160026770A (ko) 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
US20040222367A1 (en) Beam source and beam processing apparatus
TWI342582B (en) Method of surface texturizing
CN1220050C (zh) 膜沉积期间的金属厚度的自动控制
JPH07166375A (ja) プラズマcvm研磨加工用電極

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090819

Termination date: 20110404