KR20160026770A - 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리 - Google Patents

이온 빔 에칭을 위한 이온 주입기 전극 어셈블리 Download PDF

Info

Publication number
KR20160026770A
KR20160026770A KR1020150121480A KR20150121480A KR20160026770A KR 20160026770 A KR20160026770 A KR 20160026770A KR 1020150121480 A KR1020150121480 A KR 1020150121480A KR 20150121480 A KR20150121480 A KR 20150121480A KR 20160026770 A KR20160026770 A KR 20160026770A
Authority
KR
South Korea
Prior art keywords
electrode
apertures
substrate
electrodes
inter
Prior art date
Application number
KR1020150121480A
Other languages
English (en)
Other versions
KR102514467B1 (ko
Inventor
3세 이반 엘. 베리
토르스텐 릴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160026770A publication Critical patent/KR20160026770A/ko
Priority to KR1020230037281A priority Critical patent/KR102660958B1/ko
Application granted granted Critical
Publication of KR102514467B1 publication Critical patent/KR102514467B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma Technology (AREA)

Abstract

본 명세서의 실시예들은 반도체 기판 상에서 이온 에칭을 수행하기 위한 방법들 및 장치, 뿐만 아니라 이러한 장치를 형성하기 위한 방법들에 관한 것이다. 일부 실시예들에서, 전극 어셈블리가 제조될 수도 있고, 전극 어셈블리는 상이한 목적들을 가진 복수의 전극들을 포함하고, 전극 각각은 기계적으로 안정한 방식으로 다음 전극에 고정된다. 전극들이 함께 고정된 후 전극 각각의 내부에 어퍼처들이 형성될 수도 있고, 이로써 어퍼처들이 이웃하는 전극들 사이에서 잘 정렬된다는 것을 보장한다. 일부 경우들에서, 전극들은 축퇴 (縮退) 도핑된 실리콘으로 형성되고, 전극 어셈블리는 정전기 결합을 통해 함께 고정된다. 다른 전극 재료들 및 고정 방법들이 또한 사용될 수도 있다. 전극 어셈블리는 일부 경우들에서 절단된 원뿔형 어퍼처 형상 또는 다른 비실린더형 어퍼처 형상을 가질 수도 있는, 중공 캐소드 이미터 전극을 포함할 수도 있다. 챔버 라이너 및/또는 반사기가 또한 일부 경우들에 존재할 수도 있다.

Description

이온 빔 밀링을 위한 이온 주입기 및 렌즈 시스템{ION INJECTOR AND LENS SYSTEM FOR ION BEAM MILLING}
반도체 디바이스들의 제조는 통상적으로 다양한 재료들이 반도체 기판 상으로 증착되고 반도체 기판으로부터 제거되는 일련의 동작들을 수반한다. 재료 제거를 위한 일 기법은, 이방성 방식으로 표면으로부터 원자들 및 화합물들을 물리적으로 및/또는 화학적으로 제거하도록 기판의 표면으로 이온들을 전달하는 것을 수반하는, 이온 빔 에칭이다. 충돌하는 이온들은 기판 표면에 부딪치고 (strike) 운동량 전달을 통해 (그리고 반응 이온 에칭의 경우 반응을 통해) 재료를 제거한다.
본 명세서의 다양한 실시예들은 기판으로부터 재료를 제거하기 위해 이온 빔 에칭을 수행하기 위한 방법들 및 장치에 관한 것이다. 특정한 실시예들은 이온 빔 에칭 애플리케이션에 사용된 전극 어셈블리를 형성하는 방법에 관한 것이다. 다른 실시예들은 이러한 방법들에 의해 형성된 전극 어셈블리들에 관한 것이다. 많은 상이한 실시예들이 본 명세서에 제공된다. 상이한 실시예들의 다양한 특징들은 특정한 애플리케이션을 위해 원하는 바에 따라 조합될 수도 있다.
개시된 실시예들의 일 양태에서, 이온 빔 에칭 반응기에서 사용하기 위한 전극 어셈블리를 형성하는 방법이 제공되고, 이 방법은: 제 1 전극, 제 2 전극 및 제 3 전극을 제공하는 단계; 상기 제 1 전극과 상기 제 2 전극 사이에서 고정화하도록 (immobilize) 제 1 전극 간 구조체를 제공하고 고정시키고, 상기 제 2 전극과 상기 제 3 전극 사이에서 고정화하도록 제 2 전극 간 구조체를 제공하고 고정시키는 단계로서, 상기 제 1 전극, 상기 제 2 전극, 상기 제 3 전극, 상기 제 1 전극 간 구조체, 및 상기 제 2 전극 간 구조체는 상기 전극 어셈블리를 형성하도록 실질적으로 서로 수직으로 배열되는, 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체를 제공하고 고정시키는 단계; 및 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체가 상기 전극 어셈블리 내에서 고정화되는 동안 상기 제 1 전극, 상기 제 2 전극 및 상기 제 3 전극 내에 복수의 어퍼처들을 형성하는 단계를 포함한다.
일부 실시예들에서, 제 1 전극, 제 2 전극, 및 제 3 전극은 축퇴 (縮退) 도핑된 실리콘을 포함하고, 상기 제 1 전극 간 구조체를 고정시키는 단계는 상기 제 1 전극 간 구조체를 상기 제 1 전극 및/또는 상기 제 2 전극에 부착시키는 단계를 포함하고, 상기 제 2 전극 간 구조체를 고정시키는 단계는 상기 제 2 전극 간 구조체를 상기 제 2 전극 및/또는 상기 제 3 전극에 부착시키는 단계를 포함한다. 정전 접합은 일부 경우들에서 관련된 부품들 (pieces) 사이에서 매우 양호한 연결부들을 생성하도록 사용될 수도 있다. 다른 실시예들에서, 제 1 전극 간 구조체를 고정시키는 단계는 제 1 전극 간 구조체를 제 1 전극 또는 제 2 전극 바로 위에 증착하는 단계를 포함하고, 및/또는 제 2 전극 간 구조체룰 고정시키는 단계는 제 2 전극 간 구조체를 제 2 전극 또는 제 3 전극 바로 위에 증착하는 단계를 포함한다. 특정한 경우들에서, 제 1 전극 간 구조체를 고정시키는 단계는 제 1 전극과 제 2 전극 사이에 제 1 전극 간 구조체를 고정시키기 위해 접착제 또는 유리 프릿 (glass frit) 을 제공하는 단계, 및/또는 제 2 전극 간 구조체를 고정시키는 단계는 제 2 전극과 제 3 전극 사이에 제 2 전극 간 구조체를 고정시키기 위해 접착제 또는 유리 프릿을 제공하는 단계를 포함한다. 일부 구현예들에서, 제 1 전극 간 구조체를 고정시키는 단계 및 제 2 전극 간 구조체를 고정시키는 단계는 제 1 전극, 제 2 전극, 및 제 3 전극 각각에 2 개 이상의 가이드 홀들 (guide holes) 을 형성하는 단계, 및 가이드 홀들을 통해 핀들을 삽입하는 단계를 포함한다. 이들 또는 다른 경우들에서, 제 1 전극 간 구조체를 고정시키는 단계 및 제 2 전극 간 구조체를 고정시키는 단계는 제 1 전극 간 구조체 및 제 2 전극 간 구조체를 직접적으로 또는 간접적으로 고정시키고 적어도 제 1 전극 및 제 3 전극을 직접적으로 고정시키는 브라켓들 또는 클램프들을 제공하는 단계를 포함한다. 제 1 전극 간 구조체 및/또는 제 2 전극 간 구조체는 일부 경우들에서 어퍼처들을 형성하기 전에 재료의 연속적인 층일 수도 있다.
일부 실시예들에서, 제 1 전극, 제 2 전극 및 제 3 전극 내에 어퍼처들을 형성하는 단계는 제 1 전극 간 재료 내 및 제 2 전극 간 재료 내에 어퍼처들을 형성하는 단계를 더 포함한다. 이 방법은 어퍼처들을 형성한 후에, 적어도 제 1 전극 간 층의 일부 및 제 2 전극 간 층의 일부를 에칭 및 제거하도록 에칭 용액에 전극 어셈블리를 침지시키는 단계를 더 포함할 수도 있다. 전극 어셈블리를 에칭 용액에 침지시키는 단계는 제 1 전극 및 제 2 전극과 접촉하거나 제 2 전극 및 제 3 전극과 접촉하는 지지부 구조체들의 형성을 발생시킬 수도 있고, 지지부 구조체들은 제 1 전극 간 구조체 및 제 2 전극 간 구조체로부터 형성된다. 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극은 전극들이 보잉 (bowing) 하는 것을 방지하기 위해 어퍼처들을 형성하기 전 및/또는 어퍼처들을 형성한 후에 성형될 수도 있다.
또한, 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 및 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체는 서로 약 50 % 이하만큼 상이한 열 팽창 계수 (CTE) 를 가질 수도 있다. 다른 경우들에서 CTE들은 훨씬 보다 밀접하게 매칭된다. 일부 경우들에서, 어퍼처들을 형성한 후에, 더스크가 제 1 전극과 제 2 전극 사이로부터 및/또는 제 2 전극과 제 3 전극 사이로부터 제거된다. 어퍼처들은 특정한 경우들에서 레이저, 예를 들어 Q-전환된 CO2 레이저, 펄싱된 UV 레이저, 또는 다이오드 펌핑된 고체 상태 레이저 (DPSS: diode pumped solid-state laser) 를 사용하여 드릴링될 수도 있다. 어퍼처들을 형성하기 위한 또 다른 프로세스는 제 1 전극 상에 하나 이상의 금속 구조체들을 위치시키는 단계; 전극 어셈블리를 전해질 욕에 배치하는 단계; 및 금속 구조체(들)로 하여금 제 1 전극, 제 1 전극 간 재료, 제 2 전극, 제 2 전극 간 재료, 및 제 3 전극 내에 하나 이상의 어퍼처들을 형성하게 하도록 전기장을 인가하는 단계를 포함한다. 이 방법은 전극 어셈블리를 전해질 욕에 배치하기 전에, 제 2 전극 간 구조체에 반대되는 제 3 전극에 반사기 전구체 층을 고정시키는 단계; 및 하나 이상의 금속 구조체들이 제 3 전극 내에 하나 이상의 어퍼처들을 형성한 후에, 전해질 욕 내에서 전극 어셈블리를 틸팅 (tilting) 하고 반사기를 형성하기 위해 반사기 전구체 층 내에 하나 이상의 어퍼처들을 형성하도록 전기장을 계속해서 인가하는 단계를 더 포함할 수도 있고, 제 3 전극 내의 어퍼처들은 제 3 전극과 반사기 간의 계면에서 반사기 내의 어퍼처들과 정렬되고, 어퍼처들은 전극 어셈블리 및 반사기를 통한 직접적인 시선 (line of sight) 을 제공하지 않는다. 보다 일반적으로 말하면, 일부 경우들에서, 이 방법은 반사기를 제 3 전극에 부착시키는 단계를 포함하고, 반사기는 전극 어셈블리를 통한 직접적인 시선을 차단한다.
특정한 경우들에서, 전극들은 상이한 전극들에 대해 상이한 직경들을 갖는 어퍼처들을 포함하도록 제조된다. 예를 들어, 제 3 전극은 제 2 전극의 어퍼처 직경보다 큰 (예를 들어, 최대 30 % 더 큰) 어퍼처 직경을 가질 수도 있다. 유사하게, 제 2 전극은 제 1 전극의 어퍼처 직경보다 큰 (예를 들어, 최대 30 % 더 큰) 어퍼처 직경을 가질 수도 있다. 특정한 실시예들에서, 전극들 중 하나 이상의 어퍼처들은 약 10 ° 이하의 테이퍼를 갖는다.
가스 경로들은 제 1 전극 간 구조체 내 및/또는 제 2 전극 간 구조체 내에 형성될 수도 있다. 가스 경로들은 에칭 동안, 가스로 하여금 전극 어셈블리의 내부 영역으로부터 외부로 빠져 나가게 할 수도 있다.
특정한 실시예들에서, 어퍼처들을 형성하기 전 또는 형성한 후에, 제 4 전극이 전극 어셈블리에 제공될 수도 있고, 제 4 전극은 제 1 전극 위에 제공되고, 제 4 전극은 복수의 중공 캐소드 이미터들을 갖는 중공 캐소드 이미터 전극을 형성한다. 중공 캐소드 이미터 전극은 상부 표면 및 하부 표면을 가질 수도 있고, 하부 표면은 제 1 전극과 마주본다. 다양한 경우들에서, 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내에 어퍼처들을 형성하기 전, 형성하는 동안 또는 형성한 후, 복수의 홀들이 중공 캐소드 이미터 전극 내에 형성될 수도 있고, 홀 각각은 상부 표면에서 보다 크고 하부 표면을 향해 보다 작은 직경을 갖고, 홀들은 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내에 어퍼처들이 형성된 후 어퍼처들의 위치와 정렬된다. 중공 캐소드 이미터 전극의 중공 캐소드 이미터들은 다양한 형상들을 가질 수도 있다. 특정한 경우들에서, 중공 캐소드 이미터 전극 내의 홀들은 하부 실린더형부 및 상부 가변 직경부를 포함한다. 상부 가변 직경부는 깔때기 형상 (funnel shape) 을 가질 수도 있다. 특정한 유사한 실시예들에서, 제 1 전극은 중공 캐소드 이미터 전극에 대해 기술된 임의의 특성들을 갖는 중공 캐소드 이미터 전극으로서 제조될 수도 있다.
본 명세서의 다양한 실시예들은 이온 빔 에칭을 수행하기 위한 방법들 및 장치에 관련된다. 이들 실시예들은 개시된 기법들에 따라 제조된 전극 어셈블리를 활용할 수도 있다. 그러나, 이러한 전극 어셈블리들은 모든 실시예들에 반드시 제공되어야 하는 것은 아니다.
예를 들어, 개시된 실시예들의 또 다른 양태에서, 반도체 기판의 에칭 방법이 제공되고, 이 방법은: 기판 지지부 상에 기판을 위치시키는 단계로서, 상기 기판 지지부에 커플링된 회전 메커니즘은 상기 기판을 약 2 ° 이상의 정확도로 회전시키도록 구성되는, 상기 기판을 위치시키는 단계; 제 1 바이어스를 제 1 전극에 인가하고 제 2 바이어스를 제 2 전극에 인가하고, 상기 제 1 전극 및 상기 제 2 전극은 그 내부에 어퍼처들을 포함하고, 상기 제 1 전극 및 상기 제 2 전극 위에 플라즈마를 공급하는 단계로서, 상기 제 1 전극 및 상기 제 2 전극 내의 상기 어퍼처들을 통해 상기 기판의 표면을 향해 이온들이 통과하는, 상기 바이어스를 인가하고 플라즈마를 공급하는 단계; 상기 플라즈마를 공급하는 단계 동안, 상기 기판 및 상기 기판 지지부를 제 1 방향 및 상기 제 1 방향에 반대되는 제 2 방향으로 주기적으로 회전시키는 단계; 및 상기 기판이 회전하는 동안, 상기 기판의 상기 표면에 이온들 또는 입자들이 충돌한 결과로서 상기 기판을 에칭하는 단계를 포함한다.
회전들은 기판 지지부의 구성에 의해 제한된다. 즉, 기판은 중앙 시작 위치로부터 제 1 방향으로 약 215 ° 이하로 회전하고, 중앙 시작 위치로부터 제 2 방향으로 약 215 ° 이하로 회전할 수도 있다. 기판이 제 1 방향의 회전의 말단 (end point) 으로부터 회전하기 시작하기 때문에, 제 2 방향의 회전은 전체의 약 430 ° 이하일 수도 있다. 유사하게, 일단 기판이 제 1 방향으로 다시 회전하면, 회전은 제 2 방향의 말단으로부터 시작되고, 따라서, 제 1 방향의 제 2 (또는 제 n) 회전은 약 430 ° 이하일 수도 있다. 일부 경우들에서, 기판 홀더는 약 ± 180 ° 이하로 회전하도록 구성된다. 이러한 구성은 중앙 측정 지점으로부터 측정될 때 어느 하나의 방향으로 약 360 ° 의 기판 회전들을 허용할 수도 있다.
상이한 회전 레이트들이 회전들의 상이한 부분들 동안 사용될 수도 있다. 예를 들어, 기판은 제 1 방향으로 회전할 때 제 1 평균 회전 레이트로 회전할 수도 있고, 제 2 방향으로 회전할 때 제 2 평균 회전 레이트로 회전할 수도 있고, 제 1 평균 회전 레이트와 제 2 평균 회전 레이트는 상이하다. 또 다른 예에서, 각각의 방향으로의 기판 회전들은 보다 느린 부분 및 보다 빠른 부분을 포함할 수도 있다. 이온들 또는 입자들은 회전들의 일 부분 동안에만 기판의 표면에 충격을 줄 수도 있다. 예를 들어, 이온들 또는 입자들은 기판이 제 1 방향으로 회전할 때 기판의 표면에 충격을 줄 수도 있지만 기판이 제 2 방향으로 회전할 때는 그렇지 않다. 이온들 또는 입자들은 회전의 보다 느린 부분 동안 기판의 표면에 충격을 줄 수도 있지만, 회전의 보다 빠른 부분 동안에는 그렇지 않다.
다양한 실시예들에서, 기판 홀더는 약 2 ° 이상의 정확도로 기판을 회전 및/또는 틸팅시키도록 구성된다. 이러한 정확도는 기판이 2 개의 상이한 방향들로 회전하면 특히 유리하다. 방법은 제 2 전극 아래에 위치된 반사기 상에 이온들을 충돌시켜, 입자들을 형성하도록 이온들을 중성화하는 단계를 포함할 수도 있다. 방법은 또한 제 2 전극 아래에 위치된 제 3 전극 내의 어퍼처들을 통해 이온들을 통과시키는 단계를 포함한다. 제 3 전극은 접지될 수도 있다. 제 3 전극은, 제 3 전극 및 반사기 모두 존재하는 경우들에서 반사기 위에 위치될 수도 있다. 일부 실시예들에서, 방법은 상기 제 1 전극 및 상기 제 2 전극 위에 위치된 중공 캐소드 이미터 전극 내의 복수의 중공 캐소드 이미터들 내에서 복수의 마이크로-젯 방출들을 생성하는 단계를 포함하고, 마이크로-젯 플라즈마 방전들은 상기 제 1 전극 및 상기 제 2 전극 내의 어퍼처들과 정렬된다.
이전의 실시예들과 관련하여 기술된 회전 패턴들은 본 명세서에 개시된 임의이 에칭 및 에칭 장치에서 활용될 수도 있다. 그러나, 이러한 회전 패턴들 (즉, 순환적 양방향 회전) 은 모든 실시예들에서 필요하진 않다. 다른 실시예들이 예를 들어 단일 방향의 연속적인 회전을 활용하도록 구성될 수도 있다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판을 에칭하는 방법이 제공되고, 이 방법은: 제 1 전극, 제 2 전극, 제 3 전극을 포함하는 반응 챔버, 기판 지지부, 및 하나 이상의 가스 유입부들에 기판을 제공하는 단계로서, 전극 각각은 내부에 형성된 복수의 어퍼처들을 갖고, 어퍼처들은 제 1 전극, 제 2 전극, 및 제 3 전극이 전극 어셈블리 내에서 서로에 대해 고정화된 후에 형성되고, 어퍼처들은 단일 동작으로 제 1 전극, 제 2 전극, 및 제 3 전극 내에 형성되는, 상기 기판을 제공하는 단계; 제 1 전극 위에 플라즈마를 생성하거나 공급하는 단계; 시준된 (collimated) 이온 빔들의 이온들을 기판을 향해 지향시키도록 제 1 전극에 제 1 바이어스를 인가하고 제 2 전극에 제 2 바이어스를 인가하는 단계; 및 이온들이 기판을 향해 지향된 결과로서 기판을 에칭하는 단계를 포함한다.
상기에 주의된 바와 같이, 전극 어셈블리는 본 명세서에서 논의된 임의의 어셈블리 형성 방법들을 통해 형성될 수도 있다.
방법은 이온들을 중성화하고 중성 빔을 제공하도록 제 3 전극 아래에 위치된 반사기 상에 이온들을 충돌시키는 단계를 더 포함할 수도 있다. 특정한 구현예들에서, 방법은 제 1 방향 및 제 1 방향에 반대되는 제 2 방향으로 기판을 주기적으로 회전시키는 단계를 포함한다. 기판 지지부는 약 ±215 ° 이하, 예를 들어 약 ±180 °, 또는 약 ±180 ° 내지 ±215 °로 이동하도록 구성될 수도 있다. 반응 챔버는 셔터를 더 포함할 수도 있고, 셔터는 셔터가 폐쇄될 때 이온들이 기판 위에 충돌하는 것을 방지하도록 구성된다. 셔터는 기판이 특정한 방향으로 회전하는 동안 이온들이 기판 위에 충돌하는 것을 방지할 수도 있다. 이들 또는 다른 경우들에서, 셔터는 회전 각각의 특정한 부분 동안 이온들이 기판 위에 충돌하는 것을 방지할 수도 있다 (예를 들어, 기판이 회전의 보다 빠른 부분 동안 회전할 때 이온들을 차단하고 기판이 회전의 보다 느린 부분 동안 회전할 때 이온들을 차단하지 않는다). 일부 경우들에서, 기판을 회전시키는 것은 제 1 방향에서 제 1 평균 속도로 그리고 제 2 방향에서 제 2 평균 속도로 기판을 회전시키는 것을 포함하고, 제 1 평균 속도는 제 2 평균 속도와 상이하다.
방법은 에칭 동안 기판을 틸팅시키는 단계를 더 포함할 수도 있다. 이러한 틸팅은 비법선 각도로 기판에 충격을 주는 시준된 이온 빔들 또는 입자 빔들을 발생시킬 수도 있다. 개시된 실시예들의 특정한 다른 양태들에 대하여 주의된 바와 같이, 반응 챔버는 제 1 전극 위에 제 4 전극을 더 포함할 수도 있고, 제 4 전극은 복수의 중공 캐소드 이미터들을 갖는 중공 캐소드 이미터 전극이다. 이러한 경우들에서, 제 1 전극 위에 플라즈마를 생성하는 것은 중공 캐소드 이미터들 내에서 플라즈마를 생성하는 것을 포함할 수도 있다. 이러한 플라즈마 생성은 중공 캐소드 이미터 전극 위에서 발생하는 플라즈마 생성에 부가될 수도 있다. 중공 캐소드 이미터들 내에서 플라즈마를 생성하는 것은 중공 캐소드 이미터 전극에 RF 바이어스를 인가하는 것을 포함할 수도 있다. 특정한 경우들에서, 방법은 중공 캐소드 이미터 전극 위 대 중공 캐소드 이미터 전극 아래에 약 1 Torr 이상의 압력 차를 생성하는 것을 더 포함한다. 일부 실시예들에서, 중공 캐소드 이미터 전극을 통한 가스 전도도는 약 800 L/min 이하이다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판을 에칭하기 위한 장치가 제공되고, 장치는: 이온들 또는 이온들로부터 생성된 중성자 입자들의 플럭스에 기판을 노출시키도록 구성된 이온 소스를 포함하는 반응 챔버; 에칭 동안 기판을 지지하도록 구성된 기판 지지부; 기판 및 기판 지지부를 틸팅하고 회전시키기 위한 회전 메커니즘으로서, 회전 메커니즘은 약 2 ° 이상의 정확도로 기판 각각을 회전시키고 틸팅시키도록 구성되는, 상기 회전 메커니즘; 및 에칭 동안 기판 틸팅 각도, 회전 각도, 및 이온 에너지를 설정하기 위한 인스트럭션들을 갖는 제어기를 포함한다. 기판 지지부는 0 °에서 중앙 시작 위치에 대해 약 ±215 ° 이하, 예를 들어 ±180 °로 회전하도록 구성될 수도 있다. 일부 실시예들에서, 회전 메커니즘은 약 2 ° 이상의 정확도로 기판의 회전 위치를 검출할 수 있는 센서, 스텝퍼 또는 다른 메커니즘을 포함한다. 특정한 경우들에서, 이온 소스는 본 명세서에 기술된 바와 같이 전극 어셈블리 내로 함께 고정된 복수의 전극들을 포함한다. 또한, 장치는 내부에 복수의 중공 캐소드 이미터들을 갖는 중공 캐소드 이미터 전극을 포함할 수도 있다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판을 에칭하기 위한 장치가 제공되고, 장치는: 반응 챔버; 기판 지지부; 하나 이상의 가스들 또는 플라즈마를 상기 반응 챔버에 공급하기 위한 유입부; 제 1 전극, 제 2 전극, 및 제 3 전극으로서, 각각 그 내부에 복수의 어퍼처들을 갖고, 상기 제 2 전극은 상기 제 1 전극 아래에 위치되고, 그리고 상기 제 3 전극은 상기 제 2 전극 아래에 위치되는, 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극; 복수의 중공 캐소드 이미터들을 갖는 중공 캐소드 이미터 전극으로서, 상기 중공 캐소드 이미터들은 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내의 상기 어퍼처들과 정렬되고, 그리고 상기 중공 캐소드 이미터 전극은 상기 제 1 전극 위에 위치되는, 상기 중공 캐소드 이미터 전극; 및 (i) 상기 중공 캐소드 이미터 전극 위에 플라즈마를 생성하는 단계, (ii) 상기 중공 캐소드 이미터 전극에 바이어스를 인가하는 단계, (iii) 상기 제 1 전극에 바이어스를 인가하는 단계, 및/또는 (iv) 상기 제 2 전극에 바이어스를 인가하는 단계 중 하나 이상을 수행하도록 구성된 하나 이상의 RF 소스들을 포함한다.
일부 실시예들에서, 하나 이상의 RF 소스들은 (i) 내지 (iv) 중 3 개 이상, 예를 들어 (i) 내지 (iv) 모두를 실행하도록 구성된다. 장치는 약 2 ° 이상의 정확도로 기판 및 기판 지지부를 회전시키도록 구성된 회전 메커니즘을 더 포함할 수도 있다. 장치는 에칭 동안 틸팅 각도, 회전 각도, 및 이온 에너지를 제어하기 위한 인스트럭션들을 갖는 제어기를 더 포함할 수도 있다. 또한, 장치는 제 3 전극 아래에 위치된 반사기를 포함할 수도 있고, 반사기는 에칭 동안 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내의 어퍼처들을 통과하는 이온들을 중성화하도록 동작가능하다.
특정한 경우들에서, 전극들은 상이한 전극들에 대해 상이한 직경들을 갖는 어퍼처들을 포함한다. 예를 들어, 제 3 전극은 제 2 전극의 어퍼처 직경보다 큰 (예를 들어, 최대 약 30 % 더 큰) 어퍼처 직경을 가질 수도 있다. 유사하게, 제 2 전극은 제 1 전극의 어퍼처 직경보다 큰 (예를 들어, 최대 약 30 % 더 큰) 어퍼처 직경을 가질 수도 있다. 특정한 실시예들에서, 전극들 중 하나 이상의 어퍼처들은 약 10 ° 이하의 테이퍼를 갖는다. 중공 캐소드 이미터들을 통한 가스 전도도는 매우 낮을 수도 있고, 예를 들어 약 1 SLM의 플로우 레이트의 가스가 중공 캐소드 이미터 전극 위에 제공될 때 약 800 L/min 이하이다.
개시된 실시예들의 또 다른 양태에서, 기판을 에칭하기 위한 장치가 제공되고, 장치는: 에칭 동안 기판을 지지하도록 구성된 기판 홀더를 포함하는 반응 챔버; 가스 상 반응물질들 및/또는 플라즈마를 반응 챔버로 제공하기 위한 하나 이상의 유입부들; 제 1 전극, 제 2 전극, 및 제 3 전극을 포함하는 전극 어셈블리를 포함하고, 전극 각각은 복수의 어퍼처들을 포함하고, 전극 각각의 어퍼처들은 제 1 전극, 제 2 전극 및 제 3 전극이 수직으로 스택되고 서로에 대해 고정화되도록 제 1 전극, 제 2 전극 및 제 3 전극을 함께 고정시키는 단계; 및 제 1 전극, 제 2 전극 및 제 3 전극을 함께 고정한 후에, 전극 각각 내의 어퍼처들이 정렬되도록 제 1 전극, 제 2 전극, 및 제 3 전극 내에 어퍼처들을 형성하는 단계를 포함하는 단일 프로세스에서 형성된다.
제 1 전극, 제 2 전극 및 제 3 전극은 전극 어셈블리 내에서 서로 고정될 수도 있다. 전극 어셈블리는 임의의 개시된 방법들을 통해 형성될 수도 있다. 일부 경우들에서, 제 1 전극, 제 2 전극, 및 제 3 전극 중 적어도 하나는 약 0.5 ㎜ 내지 10 ㎝, 또는 약 1 ㎜ 내지 3 ㎝, 예를 들어 약 5 ㎜의 두께를 갖는다. 어퍼처들은 약 0.5 내지 1 ㎝의 직경을 가질 수도 있다. 어퍼처 치수들의 다른 양태들은 본 명세서에 기술된다.
일부 경우들에서, 전극들은 축퇴 도핑된 실리콘으로 이루어진다. 이러한 경우들에서, 제 1 전극은 제 1 전극 및 제 2 전극 중 적어도 하나에 정전 접합된 (electrostatically bonded) 제 1 전극 간 구조체를 통해 제 2 전극에 고정될 수도 있고, 제 2 전극은 제 2 전극 및 제 3 전극 중 적어도 하나에 정전 접합된 제 2 전극 간 구조체를 통해 제 3 전극에 고정될 수도 있다. 특정한 실시예들에서, 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체 중 적어도 하나는 실리콘 옥사이드를 포함한다. 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체 중 적어도 하나는 주변부들에서 또는 근방에서 제 1 전극, 제 2 전극, 및/또는 제 3 전극을 지지할 수도 있다. 일부 경우들에서, 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체 중 적어도 하나는 링 및/또는 리브들 (ribs) 을 포함한다. 특정한 구현예들에서, 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극은 내화 금속 (refractory metal) 을 포함한다.
기판 홀더는 에칭 동안 ±215 ° 이하, 예를 들어 약 ±180 °로 회전하도록 구성될 수도 있다. 제어기는 기판 및 기판 홀더를 제 1 방향 및 제 1 방향에 반대되는 제 2 방향으로 주기적으로 회전시키기 위한 인스트럭션들을 가질 수도 있다. 가변 회전 속도들이 회전들 동안 사용될 수도 있다. 셔터는 회전들의 특정한 부분들 동안 이온들 및/또는 입자들이 기판에 충격을 주는 것을 방지하도록 사용될 수도 있다. 특정한 실시예들에서, 챔버 라이너는 에칭 동안 반응 챔버의 내부 표면들을 커버하도록 사용될 수도 있고, 챔버 라이너는 스퍼터 저항성 재료를 포함한다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1은 반도체 기판들을 에칭하기 위해 사용된 반응 챔버의 간략화된 도면을 도시한다.
도 2는 특정한 실시예들에 따른 전극 어셈블리의 단면도를 예시한다.
도 3의 패널들 A 내지 H는 일부 실시예들에 따른 전극 어셈블리 내의 전극 간 구조체들로 사용될 수도 있는 다양한 가능한 형상들의 예들을 도시한다.
도 4는 전극 간 구조체의 일부의 단면도를 도시한다.
도 5a 내지 도 5d는 특정한 구현예들에 따라 전극 어셈블리를 형성하는 단계들을 예시한다.
도 6a는 전극 어셈블리에 증가된 구조적 지지를 제공하기 위한 목적을 위해 생략된 다수의 어퍼처들을 갖는 전극의 상면도를 예시한다.
도 6b 및 도 6c는 도 6a에 도시된 형상을 갖는 전극들을 사용하는 형성 동안 상이한 지점들에서의 전극 어셈블리의 단면도를 도시한다.
도 7a 내지 도 7d는 일부 실시예들에 따라 전극 어셈블리를 함께 고정하는 다양한 방법들을 도시한다.
도 8은 특정한 구현예들에서 사용된 중공 캐소드 이미터 전극의 확대된 단면도를 도시한다.
도 9는 중공 캐소드 이미터 전극의 절단된 원뿔 형상의 어퍼처 내에서 마이크로-제트들의 형성을 예시한다.
도 10은 특정한 실시예들에서 이온 빔을 중성화하기 위해 사용된 반응기를 구비한 반응 챔버를 도시한다.
도 11은 정전기 접합을 사용하여 전극 간 재료를 통해 함께 고정되는 2 개의 전극들을 도시한다.
본 명세서에서, 용어들 “반도체 웨이퍼”, “웨이퍼”, “기판”, “웨이퍼 기판”, 및 “부분적으로 제조된 집적 회로” 는 상호교환 가능하게 사용된다. 당업자는 용어 “부분적으로 제조된 집적 회로” 가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 가질 수도 있다. 이하의 상세한 설명은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형태들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 소자들, 전기-광학 디바이스들, 마이크로-역학 디바이스들 (micro-mechanical devices), 등과 같은 다양한 물품들을 포함한다.
이하의 기술에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 이는 개시된 실시예들로 제한하도록 의도되지 않는다는 것이 이해될 것이다.
이온 빔 에칭은 반도체 디바이스들의 제조에 일반적으로 사용된다. 상기에 언급된 바와 같이, 이온 빔 에칭은 기판 표면으로 에너제틱한 (energetic) 이온들을 전달함으로써 기판의 표면으로부터 재료를 제거하는 것을 수반한다. 이온 빔 에칭은, 불활성 이온들 (예를 들어, 아르곤 이온들) 만을 수반하는 프로세스들, 및 반응성 이온들 또는 이온들 (예를 들어, 산소 이온들, 불소 함유 이온화된 화합물들과 같은 특정한 이온화된 화합물들, 기판 상의 표면 상에 화학 흡착 또는 물리 흡착된 반응물질과의 화학 반응을 개시하는 반응성 이온 또는 불활성 이온, 등) 에 의해 개시된 화학 반응들을 수반하는 프로세스들로 광범위하게 분류될 수도 있다. 이들 프로세스들에서, 이온들은 기판 표면에 충돌하고 직접적인 물리적 운동량 전달 (스퍼터링) 또는 이온들로부터 에너지 전달에 의해 개시된 화학 반응 (반응성 이온 빔 에칭 또는 화학적으로 보조된 이온 빔 에칭) 을 통해 재료를 제거한다. 반응성 이온 빔 에칭 (RIBE: reactive ion beam etching) 은 통상적으로 기판과 화학적으로 반응할 수 있는 이온 (예를 들어, 산소, 불소 등) 의 활용을 수반한다. 화학적으로 보조된 이온 빔 에칭 (CAIBE: chemically assisted ion beam etching) 에서, 불활성 이온은 기판과 반응 물질 (예를 들어, 표면에 흡착된 인가된 가스) 간의 화학 반응을 개시하거나, 반응 위치의 생성과 동시에 또는 후속하여, 또는 이들의 임의의 조합으로 인가된 반응 물질과 반응하는 기판의 표면 상에 반응 위치를 생성한다.
이온 빔 에칭 프로세스들을 위한 특정한 애플리케이션들은 비휘발성 재료들의 에칭과 관련된다. 일부 경우들에서, 에칭된 재료는 도전성 재료이다. 특정한 실시예들에서, 재료는 MRAM (magnetoresistive random-access memory) 디바이스, STT-RAM (spin-torque-transfer memory) 디바이스, PSM (phase-change memory) 디바이스, 비휘발성 도전체 (구리, 플레티넘, 골드, 등) 의 형성의 맥락에서 에칭된다. 다른 애플리케이션들에서, 기판으로의 이온 입사각을 제어하는 능력은 수직으로 적층된 메모리와 같은 3D 디바이스들을 생성하는데 유용할 수 있다.
이온 빔 에칭 프로세스들을 수행할 때, 기판 표면 위에서 매우 균일한 이온 플럭스를 촉진하는 것이 바람직하다. 고 균일도는 기판의 전체 표면에 걸쳐 신뢰할 수 있는 디바이스들을 생성하는데 유리하다. 또한, 이는 고 이온 플럭스 및/또는 고 플럭스의 가스 상 반응 물질을 촉진하는 특정한 경우들에서 바람직할 수도 있다. 고 플럭스는 처리량을 최대화하는 것을 도울 수 있다. 에칭 결과들의 품질에 영향을 주는 또 다른 인자는 이온들이 표면과 충돌하는 각도 및 에너지를 제어하는 능력이다. 이들 인자들은 목표된 치수들 및 프로파일들을 갖는 피처들의 형성에 중요하다.
도 1은 특정한 방법들에 따라 이온 빔 에칭을 수행하기 위한 장치 (100) 의 간략화된 단면도를 제공한다. 이 예에서, 기판 (101) 은 기판 지지부 (103) 상에 놓이고, 기판 지지부는 전기적 연결 및 유체적 연결을 제공하기 위한 하드웨어 (미도시) 를 구비할 수도 있다. 전기적 연결들은 기판 지지부 (103) 또는 일부 경우들에서 기판 지지부 (103) 상 또는 내에 위치된 정전 척 (미도시) 에 전기를 공급하도록 사용될 수도 있는 반면, 유체적 연결들은 기판 (101) 및 기판 지지부 (103) 의 온도를 제어하기 위해 사용된 유체들을 제공하도록 사용될 수도 있다. 기판 지지부 (103) 는 히터 (미도시) 에 의해 가열될 수도 있거나 냉각 메커니즘 (미도시) 에 의해 냉각될 수도 있다. 냉각 메커니즘은 기판 지지부 (103) 내 또는 기판 지지부 (103) 에 인접한 파이프를 통해 냉각 유체들을 유동시키는 것을 수반할 수도 있다. 기판 지지부 (103) 는 도 1에서 양방향 화살표로 나타낸 바와 같이, 가변하는 속도들 및 각도들로 회전 및 틸팅 (tilting) 될 수도 있다.
플라즈마 생성 가스가 1차 플라즈마 생성 영역 (105) 으로 전달된다. 플라즈마 생성 가스는 플라즈마 소스 (107) 에 의해 에너자이징된다 (energize). 도 1의 맥락에서, 플라즈마 소스 (107) 는 유도 접합 플라즈마 소스로서 작용하는 코일이다. 용량 접합 소스들, 마이크로파 소스들 또는 방전 소스들과 같은 다른 소스들이 적절히 설계된 반응기들에서 채용될 수도 있다. 플라즈마는 1차 플라즈마 생성 영역 (105) 에서 형성된다. 추출 전극 (109) 은 일련의 개구부들 (110) 을 포함하고, 이를 통해 이온들이 추출된다.
개구부들 (110) 은 약 0.5 내지 1 ㎝의 직경, 및 전극의 두께에 의해 규정된 높이를 가질 수도 있다. 개구부들 (110) 은 약 0.01 내지 100.0의 폭에 대한 높이 종횡비 (AR) 를 가질 수도 있다. 일부 경우들에서 개구부들 (110) 은 육각형, 정사각형 그리드 또는 나선형 패턴으로 배열되지만, 다른 패턴들이 또한 사용될 수도 있다. 이웃하는 어퍼처들 간의 중심-대-중심 거리는 약 1 ㎜ 내지 10 ㎝일 수도 있다. 어퍼처들은 전극의 단일 (상단 또는 하단) 표면만을 고려할 때, 전극의 표면적의 약 0.1 % 내지 95 %인 전체 개방 면적 (즉, 어퍼처 각각의 면적의 합) 을 달성하도록 구성될 수도 있다. 예를 들어, 40 ㎝의 직경을 갖는 전극 및 각각 1 ㎝의 직경을 갖는 500 개의 홀들은 약 31 % (1257 ㎠ 의 총 면적으로 나눠진 393 ㎠의 개방 면적) 의 개방 면적을 가질 것이다. 개구부들 (110) 은 상이한 전극들에서 상이한 직경들을 가질 수도 있다. 일부 경우들에서, 어퍼처 직경은 상부 전극들에서 보다 작고 하부 전극들에서 보다 크다. 일 실시예에서, 하부 전극 (113) 내의 어퍼처들은 포커싱 전극 (포커스 전극)(111) 내의 어퍼처들보다 크다 (예를 들어, 약 0 내지 30 % 더 큼). 이들 및 다른 경우들에서, 포커싱 전극 (111) 내의 어퍼처들은 추출 전극 (109) 내의 어퍼처들보다 크다 (예를 들어, 약 0 내지 30 % 더 큼).
기판 (101) 에 대하여 추출 전극 (109) 에 인가된 바이어스 V1는 기판에 대하여 이온에 운동 에너지를 제공하도록 작용한다. 이 바이어스는 일반적으로 포지티브이고 약 20 내지 10,000 볼트 이상의 범위일 수 있다. 특정한 경우들에서, 추출 전극 상의 바이어스는 약 20 내지 2,000 볼트이다. 추출 전극 (109) 위의 플라즈마의 포지티브 이온들은 전극 (109) 과 전극 (113) 간의 전위차에 의해 하부 전극 (113) 으로 끌려온다 (attracted to). 포커싱 전극 (111) 은 이온들을 포커싱하도록 부가되고, 필요하다면, 전자들을 밀어낸다 (repel). 이 전극 상의 바이어스 V2는 추출 전극 (109) 에 대해 포지티브이거나 네거티브일 수 있지만, 일반적으로 네거티브로 바이어스된다. 포커싱 전극 (111) 의 바이어스 전위는 포커싱 전극 (111) 의 렌즈 특성 (lensing characteristics) 에 의해 결정된다. 포커싱 전극 상의 바이어스 전압들은 추출 전극 상의 약 1.1x 내지 20x 전위의 포지티브 전압, 및 V1의 약 0.001x 내지 0.95x 전위 사이즈를 갖는 네거티브 전압들을 포함한다. 상이한 전극들에 인가된 상이한 전위들로 인해, 전위 구배 (potential gradient) 가 존재한다. 전위 구배는 대략 1000 V/㎝의 단위일 수도 있다. 이웃하는 전극들 간의 예시적인 분리 거리들은 약 0.1 내지 10 ㎝이거나, 예를 들어 약 1 ㎝이다.
이온들이 접지된 하부 전극 (113) 의 하단을 떠난 후, 이온들은, 포커싱 전극 (111) 전압이 시준된 (collimated) 빔을 생성하도록 설정된다면, 시준되고 포커싱된 빔 내로 이동한다. 대안적으로 포커싱 전극 전압이 이온 빔을 언더-포커싱하거나 (under-focus) 오버-포커싱하도록 (over-focus) 조정된다면, 빔은 발산하게 (divergent) 될 수 있다. 하부 전극 (113) 은 많은 경우들 (그러나 모든 경우는 아님) 에서 접지된다. 접지된 기판 (101) 과 조합하여 접지된 하부 전극 (113) 의 사용은 실질적으로 필드 프리 (field free) 기판 프로세싱 영역 (115) 을 발생시킨다. 기판을 필드 프리 영역에 위치시킴으로써, 이온 빔과 반응 챔버 내의 잔여 가스들 또는 표면들과의 충돌들에 의해 생성된 2차 이온들 또는 전자들이 기판을 향해 가속되는 것을 방지하여, 원치 않는 대미지 또는 2차 반응들의 발생의 위험을 최소화한다.
부가적으로, 이는 기판 (101) 이 이온 빔 자체로부터 대전되거나 기판과 이온 빔 충돌 동안 생성된 2차 전자들을 방출하는 것을 방지하기 위해 중요하다. 중성화는 통상적으로 기판 (101) 부근에 저 에너지 전자 소스 (미도시) 를 부가함으로써 성취된다. 이온에 대한 포지티브 전하 및 방출된 2차 전자들은 모두 기판을 포지티브로 대전하기 때문에, 기판 부근의 저 에너지 전자들은 포지티브 대전된 표면에 끌릴 수도 있고, 이 전하를 중성화할 수 있다. 이러한 중성화를 수행하는 것은 필드 프리 영역에서 훨씬 보다 쉽다.
일부 애플리케이션들에서, 하부 전극 (113) 과 기판 (101) 사이에 전위차를 갖는 것이 바람직할 수도 있다. 예를 들어, 매우 저 에너지 이온들이 필요하다면, 포지티브 대전된 이온들의 상호 척력 (repulsion) (공간-전하 효과) 로 인해 긴 거리들에 걸쳐 저 에너지로 잘 시준된 빔을 유지하는 것은 어렵다. 이에 대한 한가지 솔루션은 기판 (101) 에 대해 하부 전극 (113) 상에 네거티브 바이어스를 인가하는 것이다 (또는 반대로 하부 전극 (113) 에 대하여 기판 (101) 을 포지티브 바이어스함). 이는 보다 높은 에너지로 이온들을 추출하게 하고, 이어서 이온들이 기판에 도달함에 따라 이온들을 감속시킨다 (slow down).
특정한 이온 빔 에칭 동작들에서, 3 개의 전극들 중 하나는 생략될 수도 있다. 이는 이온들이 기판의 표면을 향해 지향되는 에너지에 대해 보다 적은 유연성을 갖는 경우이다. 이러한 제한은 원하는 대로 포커싱되고 지향될 이온들에 대해, 특정한 바이어스 전위들의 비가 2 개의 전극들에 인가되어야 하기 때문에 발생한다. 바이어스 전위들의 비는 2 개의 전극들의 포커싱 특성들 및 기하학적 구조들에 의해 제어된다. 이와 같이, 특정한 기하학적 구조가 사용되고 특정한 바이어스/전기적 상태가 하부 전극에 대해 요구되면 (예를 들어, 접지됨), 상부 전극에 인가될 바이어스에 유연성이 거의 없거나 전혀 없다. 그 결과 이러한 설정을 사용하는 반응 챔버는 이온들이 다양한 전극들을 통해 이동할 때 이온들에 부여될 수도 있는 이온 에너지의 범위로 제한되게 된다. 제 3 전극의 도입은 상기 기술된 바와 같이, 이온들로 하여금 원하는 대로 많은 상이한 이온 에너지들로 포커싱/지향되게 한다.
전극들 (109, 111, 및 113) 각각은 약 0.5 ㎜ 내지 10 ㎝, 또는 약 1 ㎜ 내지 3 ㎝, 예를 들어 약 5㎜일 수도 있는 두께를 갖는다. 전극들 (109, 111, 및 113) 은 각각 동일한 두께일 수도 있고 또는 상이한 두께들을 가질 수도 있다. 또한, 추출 전극 (109) 과 포커싱 전극 (111) 간의 분리 거리는 포커싱 전극 (111) 과 하부 전극 (113) 사이의 분리 거리와 동일하거나, 보다 크거나, 보다 작을 수도 있다. 전극 (109, 111, 및 113) 각각은 또한 프로세싱될 기판의 치수들보다 작거나, 같거나, 보다 클 수도 있는 치수들을 갖는다. 특정한 실시예들에서, 전극들의 치수들은 기판 또는 기판 지지부의 치수들과 가깝다 (예를 들어, 약 50 % 내).
전극들 (109, 111, 및 113) 은 원형이거나 사각형이거나 다른 다각형 형상일 수도 있다. 특정한 실시예들에서 전극들은 길고 좁고, 긴 치수는 기판의 일 치수와 대략 같거나 보다 크고, 기판은 시간에 대해 평균될 때 이온 빔이 기판 표면에 걸쳐 균일하게 부딪치도록 직교하는 방향으로 스캐닝된다.
추출 전극 (109), 포커싱 전극 (111) 및 하부 전극 (113) 내의 개구부들 (110) 은 서로 정확하게 정렬될 수도 있다. 그렇지 않으면, 이온들이 부정확하게 지향되게 되고, 웨이퍼 상 에칭 결과들이 불량하게 될 것이다. 예를 들어, 포커싱 전극 (111) 내의 단일 어퍼처가 오정렬된다면, 기판 (101) 의 일 구역이 오버-에칭되게 하고 (너무 많은 이온들이 지향됨) 기판 (101) 의 또 다른 구역은 언더-에칭되게 할 수도 있다 (이온들이 지향되지 않거나 매우 적은 이온들이 지향됨). 이와 같이, 어퍼처들은 가능한 한 많이 서로 정렬되는 것이 바람직하다. 다양한 경우들에서 수직으로 인접한 전극들 간의 오정렬은 홀 직경의 약 1 % 이하로 제한된다 ( (인접한 어퍼처와 비교하여 어퍼처의 위치의 선형 시프트 거리로 측정됨).
이온 빔 에칭 프로세스들은 통상적으로 저압들에서 실행된다. 일부 실시예들에서, 압력은 약 100 mTorr 이하일 수도 있고, 예를 들어 약 1 mTorr 이하, 그리고 많은 경우들에서 약 0.1 mTorr 이하일 수도 있다. 저압은 이온들과 기판 프로세싱 영역 내에 존재하는 임의의 가스성 종 간의 원치 않는 충돌들을 최소화하는 것을 돕는다. 특정한 경우들에서, 그렇지 않으면 저압 이온 프로세싱 환경에 상대적으로 고압 반응 물질이 전달된다. 이러한 프로세싱 방법들을 달성하기 위한 장치는 2014년 8월 12일 출원된 명칭이 "DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR"인 미국 특허 출원 번호 제 14/458,161 호에 기술되고, 이는 전체가 본 명세서에 참조로서 인용된다.
이온 빔 에칭 프로세스들은 일부 실시예들에서 원자층 에칭 프로세스들을 위해 사용될 수도 있다. 원자층 에칭 방법들은 또한 전체가 본 명세서에 참조로서 인용된 이하의 미국 특허들에서 논의된다: 명칭이 "ADSORPTION BASED MATERIAL REMOVAL PROCESS"인 미국 특허 제 7,416,989 호; 명칭이 "METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS"인 미국 특허 제 7,977,249 호; 명칭이 "MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS"인 미국 특허 제 8,187,486 호; 명칭이 "ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL"인 미국 특허 제 7,981,763 호; 명칭이 "ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT"인 미국 특허 제 8,058,179 호.
전극 재료
통상적으로, 전극들을 구성하기 위해 사용된 재료는 많은 이온 밀링 (ion milling) 프로세스들에서 발생하는 상당한 가열을 수용하기 위해 고온 양립가능해야 한다. 통상적으로, 전극 재료는 또한, 전극들이 이온 밀링 장치 내에 설치될 때 구부러지거나 (bend) 보우 (bow) 하지 않도록 기계적으로 견고해야 한다. 보다 덜 견고한 재료들은 이하에 논의되는 바와 같이 부가적인 지지 구조체들에 의해 지지될 수도 있다. 많은 종래의 경우들에서, 전극들은 내화 금속 (refractory metal) (예를 들어, 텅스텐, 탄탈룸, 몰리브덴이 통상적임) 으로 구성된다. 불행히도, 전극들의 물리적 스퍼터링은 종종 제조될 디바이스들에서 중금속 오염을 발생시킨다. 이러한 오염은 디바이스들의 성능에 유해한 영향을 줄 수 있다.
본 명세서의 특정한 실시예들에서, 하나 이상의 (일부 경우들에서 모든) 전극들은 도핑된 실리콘 (예를 들어, 축퇴 (縮退) 도핑된 실리콘) 으로 형성된다. 진성 (intrinsic) 또는 약하게 도핑된 실리콘은 전극으로서 기능하기에 충분한 도전성이 아닐 수도 있다. 실리콘은 다결정 또는 단결정일 수도 있다. 도펀트는 비소, 붕소, 인, 또는 이들의 조합일 수도 있다. 도펀트는 적어도 약 1020 atoms/㎤, 예를 들어 약 1020 내지 1023 atoms/㎤, 또는 약 1022 내지 1023 atoms/㎤의 농도/조성으로 존재할 수도 있다. 전극들은 약 0.1 내지 0.01 Ω-㎝의 도전율을 가질 수도 있다.
실리콘은 저 열 팽창 계수를 갖고, 이는 실리콘이 가열될 때 상당한 체적 팽창을 겪지 않는다는 것을 의미한다. 부가적으로, 실리콘은 고온에서 안정하고, 상대적으로 저 스퍼터 수율 (예를 들어, 200eV 아르곤에 대해 약 0.2) 을 갖는다. 또한, 재료가 실리콘 전극들을 스퍼터링할 때에도, 대부분의 반도체 디바이스들은 대부분의 금속들로부터의 오염과 비교하여 실리콘 표면 오염에 덜 민감하다. 실리콘은 단결정 또는 다결정 또는 비정질 타입 또는 이들 타입들 중 임의의 조합일 수 있다. 또한, 실리콘 전극들은, 이하에 더 논의되는 바와 같이, 종래의 금속 전극들은 할 수 없었던 방식들로 어셈블리로서 함께 제조될 수도 있다.
특정한 실시예들에서, 전극들 중 하나 이상은 실리콘 이외의 재료로 이루어진다. 다른 경우들에서, 하나 이상의 전극들은 텅스텐, 탄탈룸, 몰리브덴, 니오브, 레늄, 티타늄, 바나듐, 크롬, 지르코늄, 루테늄, 로듐, 하프늄, 오스뮴, 이리듐, 또는 이들의 조합을 포함할 수도 있다. 실리콘계 전극들은 특정한 이점들을 제공하지만, 이들의 사용이 모든 실시예들에서 요구되지는 않는다.
전극 어셈블리의 구조 및 제조
종래의 이온 빔 밀링 장치에서, 전극 각각은 개별적으로 제조되었다. 제조는 재료의 플레이트의 형성 및 이어서 플레이트 내에 어퍼처들의 형성을 수반한다. 전극 각각의 어퍼처들은 다른 전극들의 어처처들과 정렬하도록 위치된다. 광학 정렬 방법들이 이웃하는 플레이트들 사이에서 어퍼처들을 정렬하도록 사용될 수도 있다. 어처처들이 서로 완벽하게 정렬되지 않으면, 이러한 어퍼처들을 통한 이온 궤도가 왜곡된다 (skewed). 이러한 왜곡된 궤도를 따라 이동하는 이온들은 정렬된 어퍼처들을 통과하는 이온들과 비교하여 불균일한 각도로 기판에 충돌할 것이다. 오정렬이 상당하다면, 이온들은 이러한 오정렬된 어퍼처들을 통과하는 것이 차단될 수도 있다. 이온들이 전극들을 통과할 때 이온 궤도들의 왜곡 및 차단은 웨이퍼 표면 상에 (충돌 각도 및 플럭스 양자의 관점에서) 불균일한 이온 충돌을 발생시키고, 이는 불균일한 이온 밀링 결과들을 발생시킨다. 단일 전극 상의 개별 어퍼처들의 수는 약 1 내지 20,000, 예를 들어 약 10 내지 5,000 개의 범위일 수도 있다. 많은 수의 어퍼처들 및 3 개의 상이한 전극들이 개별적으로 형성되고 정렬된다는 사실로 인해, 오정렬된 홀들을 갖는 전극들을 제조하기 쉽다.
예시적인 제조 기법이 이제 기술될 것이다. 본 발명의 특정한 실시예들에서, 상이한 순서의 동작들이 사용된다. 먼저, 드릴링되지 않은 (undrilled) 전극들이 어셈블리 내로 형성된다. 다음에, 단일의 통일된 프로세스가 어셈블리 내의 모든 전극들 내에 어퍼처들을 형성하도록 사용된다. 이 제조 스킴은 매우 잘 정렬되고 균일한 이온 궤도들 및 플럭스를 생성하는 전극들을 발생시킨다. 이 제조 스킴이 과거에 회피되었던 한가지 이유는, (예를 들어, CO2, UV 또는 DPSS 레이저를 사용하는) 레이저 드릴링을 수반할 수도 있는, 어퍼처 형성 프로세스 동안 기계적으로 안정한 방식으로 전극들을 함께 적합하게 고정하고 머시닝 (machine) 하는 것이 매우 어렵다는 것이다. 이러한 기계적 불안정성으로 인해, 전극들은 어퍼처 형성 프로세스 동안 파열되거나 오정렬될 수도 있다.
일부 실시예들에서, 실리콘계 전극들의 사용은 기계적으로 안정한 방식으로 전극들을 함께 고정시키기 위한 대안적인 방법을 허용한다. 특히, 실리콘 전극은, 또 다른 실리콘 전극에 접합될 수 있는, 실리콘 다이옥사이드와 같은 전극 간 재료에 접합될 수 있다. 특정한 실시예들에서, 접합은 정전 접합 (electrostatic bonding) 이다. 이러한 접합 방법들은 때때로 양극 접합 (anodic bonding) 이라고 한다. 이 방법은 실리콘 다이옥사이드 또는 또 다른 재료로 이루어진 전극 간 층들 또는 구조체들에 의해 서로로부터 분리된 복수의 전극들을 갖는 전극 어셈블리를 생성하도록 사용될 수도 있다. 정전 접합/결합 방법 및 다른 접합/결합 방법이 이하에 더 논의된다.
도 2는 추출 전극 (209), 포커싱 전극 (211), 및 하부 전극 (213) 을 포함하는 전극 어셈블리 (200) 의 단면도를 제공한다. 일부 실시예들에서, 전극들은 상기 기술된 바와 같이 축퇴 도핑된 실리콘이다. 다른 실시예들에서, 보다 일상적인 전극 재료들이 사용된다. 인접한 전극들은 전극 간 구조체들 (220) 에 의해 분리된다. 전극 간 구조체들은 링들, 그리드들, 그물들 (webs), 리브들 (ribs), 등일 수도 있다. 예시적인 전극 간 구조체들은 또한 도 3과 관련하여 기술되고 도시된다. 전극 간 구조체들 (220) 은 적어도 2 개의 주요 목적들로 쓰일 수 있다. 먼저, 전극 간 구조체들 (220) 은 전극들 간의 분리 거리를 유지하도록 전극들을 지지하고 전극 어셈블리 (200) 에 구조적 견고성을 제공한다. 다음에, 전극 간 구조체들 (220) 은 어퍼처들 (210) 이 단일의 통일된 프로세스에서 전극 (209, 211, 및 213) 각각 내로 드릴링될 수 있도록 기계적으로 안정한 방식으로 전극들을 함께 부착/접합한다. 이 통일된 프로세스는, 다양한 어퍼처들 (210) 을 통한 이온 궤도들이 충돌 각도 및 플럭스의 관점에서 매우 균일하도록, 어퍼처들 (210) 이 인접한 플레이트들 간에서 완벽하게 정렬된다는 것을 보장한다. 도 2는 어퍼처들 (210) 이 드릴링된 후 전극 어셈블리 (200) 를 제공한다.
도 3은 도 2에 제공된 바와 같은 특정한 실시예들에 따른 전극 어셈블리에서 사용될 수도 있는 다양한 가능한 전극 간 구조체들의 상면도를 제공한다. 도 3의 패널들 A 내지 G에 도시된 바와 같이, 많은 상이한 형상들이 사용될 수도 있다. 패널 A에서, 단순한 링이 사용된다. 일부 경우들에서, 특히, 상대적으로 보다 얇은 전극들이 사용될 때, 단순한 링은 전극들의 보잉 (bowing) 을 방지하기에 적절하지 않을 수도 있다. 설치될 때 보잉하는 전극은 원하는 대로 기판 상으로 효과적으로 지향되도록 기능하지 못할 것이다. 대신, 이온 궤도들은 왜곡될 것이다. 따라서, 다양한 실시예들에서 (링과 동일한 재료일 수도 있는) 부가적인 지지부가 전극 간 구조체에 부가될 수도 있다. 패널 B 및 패널 C에서, 예를 들어, 방사상 지지부들이 부가된다. 임의의 수의 방사상 지지부들이 사용될 수도 있다. 패널 D에서, 패널 B에 도시된 방사상 지지부들이 전체 전극 간 구조체를 구성하고 주변 링은 사용되지 않는다. 유사하게, 주변 링은 또한 패널들 C, E, F, 및 H에 도시된 임의의 설계들에서 생략될 수도 있다. 패널 E에 도시된 전극 간 구조체는 주변 링을 가로지르는 현 (chord) 들을 형성하는 2 개의 지지부들을 포함한다. 임의의 수의 현들이 사용될 수도 있다. 지지부들은 또한 위에서 보았을 때 곡선이거나 웨이브 (wave) 일 수도 있다. 패널 F에서, 지지부들은 위에서 보았을 때 골이 진 (corrugated) 형상을 갖는다. 골이 진 (또는 곡선/웨이브) 지지부는 단일 전극을 고려할 때 어퍼처들 사이에 피팅 (fit) 하도록 구성될 수도 있다. 패널 G에서, 전극 간 구조체는 정사각 형상이다. 정사각 구조체는 또한 도 3의 다른 패널들에 도시된 바와 같이, 부가적인 지지부들을 포함하도록 수정될 수도 있다. 패널 H에서, 일련의 3 개의 동심원 전극 간 구조체들이 사용된다. 임의의 수의 개별 전극 간 구조체들이 전극들의 각각의 세트 사이에 사용될 수도 있다. 관련된 실시예들에서, 동심원 구조체들은, 예를 들어 방사상 지지부들에 의해 서로 부착될 수도 있다. 이러한 경우에서, 전극 간 구조체는 거미줄을 닮을 수도 있다. 당업자는 도 3에 제공된 구조들이 가능한 구조들의 일부만을 나타낸다는 것을 이해할 것이다. 많은 수정들이 고려된 실시예들로부터 벗어나지 않고 가능하다.
다양한 경우들에서, 전극 간 구조체들은 임의의 어퍼처들을 차단하지 않도록 설계될 수도 있다. 이러한 타입의 설계는 어퍼처들이 형성될 위치에 구조체들이 위치되지 않는다는 것을 보장하도록 전극 간 구조체가 위치되는 것을 조심스럽게 제어하는 것을 수반할 수도 있다. 다른 구현예들에서, 어퍼처들과 전극 간 구조체들의 중첩은, 전극 간 구조체들이 어퍼처 형성 동안 전극들과 함께 에칭되기 때문에 문제가 되지 않는다. 이러한 경우에, 어퍼처들을 차단할 수도 있는 임의의 전극 간 구조체 재료는 어퍼처들이 형성된 후 더 이상 존재하지 않는다. 이러한 프로세싱 방법들은 이하에 더 논의된다.
전극 간 구조체들을 형성하기 위해 사용된 재료는 고 저항성 재료에 전혀 견고하지 않거나 다소 견고하거나 적당할 수 있다. 예를 들어, 전극 간 구조체들은 실리콘 다이옥사이드 (예를 들어, 융해된 실리카, 보로-실리케이트 유리, 납땜 유리, 등) 또는 세라믹 (예를 들어, 실리콘 카바이드, 실리콘 나이트라이드, 지르코니아, 알루미나, 코디어라이트 (cordierite), 알루미늄 나이트라이드, 서멧 (cermet), 페로브스카이트 (perovskite), 티타네이트 (titanate), 지르코네이트 (zirconate), 리튬-알루미노-실리케이트 또는 이들의 조합), NY, Corning의 Corning Inc.로부터 상업적으로 입수가능한 Macor®와 같은 머시닝가능한 세라믹 또는 에폭시, 폴리이미드, 폴리아미드, 등과 같은 폴리머로부터 이루어질 수도 있다.
전극 간 구조체는 약 0.5 ㎜ 내지 10 ㎝, 예를 들어 약 0.5 ㎜ 내지 5 ㎝, 또는 약 0.7 ㎜ 내지 2 ㎝의 높이 (때때로 두께라고도 함) 를 가질 수도 있다. 전극 간 구조체의 높이/두께는 인접한 전극들 간의 분리 거리를 규정한다. 다양한 경우들에서 2 개의 전극 간 구조체들이 사용된다. 제 1 전극 간 구조체는 포커스 전극으로부터 추출 전극을 분리하고, 그리고 제 2 전극 간 구조체는 하부 전극으로부터 포커스 전극을 분리한다. 제 1 전극 간 구조체 및 제 2 전극 간 구조체는, 전극들의 각각의 세트 간의 분리가 동일하도록 동일한 높이를 가질 수도 있다. 다른 경우들에서, 전극 간 구조체들은 추출 전극과 포커스 전극 간의 거리가 포커스 전극과 하부 전극 간의 거리보다 크거나 보다 작도록 동일하지 않은 높이를 가질 수도 있다. 전극들 간의 거리 (전극 간 구조체들의 높이) 는 특정한 렌즈 패턴들 (즉, 시준) 및 전극 어셈블리 내/전극 어셈블리를 통한 이온 수집을 촉진하도록 동일하지 않을 수도 있다. 일부 실시예들에서, 전극 간 구조체들은 도 2에 도시된 바와 같이, 전극들의 주변부들과 거의 동일한 공간에 걸치는 주변부를 가질 수도 있다. 다른 실시예들에서, 전극 간 재료 주변부는, 예를 들어, 전극들로의 전기적 연결들을 용이하게 하도록 전극들의 주변부보다 작을 수도 있다.
도 4는 전극 간 구조체 (450) 의 일부의 확대도를 제공한다. 도 3은 전극 간 구조체의 상면도를 제공하지만, 도 4는 측면도를 제공한다. 도 4에 도시된 부분은 전극 간 구조체의 임의의 부분, 예를 들어 주변 링, 또는 방사상 지지부, 현, 리브, 등과 같은 부가적인 지지부에 대응할 수도 있다. 이 부분은 적절하게 곡선이거나 직선일 수도 있다. 어퍼처 형성 또는 어셈블리 및 접합 프로세스들은 상당한 가열을 발생시킬 수도 있다. 가열은 가스들의 팽창 및 압력의 상승 발생시킬 수 있고, 팽창된 가스들은 제거에 용이한 루트를 갖지 않는다. 부가적으로, 시스템 시작 (start-up) 동안 또는 유지보수 동안 발생될, 전극 어셈블리 둘레의 분위기가 배기되거나 가압될 때, 전극 어셈블리에 걸쳐 큰 압력 차가 발생할 수도 있다. 예를 들어, 인접한 전극들 사이의 공간에 존재하는 가스는 어셈블리의 진공 펌핑 동안 팽창할 수 있고, 전극들 간에 압력 상승을 발생시킨다. 이러한 압력 상승들은 위험할 수 있고 회피되어야 한다. 이와 같이, 다양한 경우들에서 전극 간 구조체 (450) 는 가스로 하여금 인접한 전극들 간의 공간들로부터 빠져 나가게 하도록 구성된 가스 경로들 (455) 을 포함할 수도 있다. 가스 경로들 (455) 은 임의의 적절한 치수들 및 패턴들로 제공될 수도 있다. 가스 경로들 (455) 은 가스들로 하여금 상당한 압력 증강 없이 빠져 나가게 하도록 충분히 커야 하지만, 전극 간 구조체 (450) 의 구조적 무결성을 위태롭게 하도록 너무 크거나 가깝게 이격되지 않아야 한다.
많은 경우들에서, 전극 간 구조체는 전극들에 부착되기 전에 형성/성형될 수도 있다. 그러나, 다른 경우들에서, 전극 간 구조체의 형상은 전극 간 구조체가 전극들에 부착된 후에 형성된다. 이러한 형상은 어퍼처들의 형성 동안 그리고 형성 후에 모두 발생할 수도 있다.
도 5a 내지 도 5d는 제조 동안 상이한 시점들에서 전극 어셈블리 (500) 의 단면도를 제공한다. 처음에, 추출 전극 (509), 포커스 전극 (511) 및 하부 전극 (513) 이 그 내부에 어떠한 어퍼처들도 갖지 않고 제공된다. 전극 간 층 (520) 은 인접한 전극들의 각각의 세트 사이에 제공된다. 전극 간 층 (520) 은 (도 5a에 나타낸 바와 같이) 미리 형성될 수도 있고, 또는 (예를 들어, PVD, CVD, 졸-겔 증착, 스프레이, 또는 라미네이션 (lamination) 을 통해) 전극들 (509, 511, 및/또는 513) 상에 바로 증착될 수도 있다. 전극 간 층 (520) 은 또한 전극 간 구조체 또는 전극 간 재료로서 지칭될 수도 있다. 다음에, 전극 간 층들 (520) 은 도 5b에 도시된 바와 같이, 전극 어셈블리 (500) 를 생성하도록 전극들에 연결된다. 층들은 일부 경우들에서 정전 접합에 의해 연결될 수도 있다. 다른 경우들에서, 유리 프릿들이 층들을 접합하기 위해 사용될 수도 있다. 다른 경우들에서, 기계적 구조체들 및/또는 접착제들이 제위치에 층들을 고정시키기 위해 사용된다. 정전 접합 방법 및 기계적 접합 방법은 이하에 더 논의된다. 전극들 (509, 511, 및 513) 이 전극 간 층들 (520) 에 고정된 후, 어퍼처들 (510) 은 도 5c에 도시된 바와 같이 전극 어셈블리 내로 드릴링된다. 어퍼처들은 (예를 들어, CO2, UV 또는 DPSS 레이저를 사용하는) 레이저 드릴링, (예를 들어 다이아몬드-팁 드릴 (diamond-tipped drill) 을 사용하는) 기계적 드릴링, 또는 다른 드릴링 프로세스를 통해 형성될 수도 있다. 다음에, 전극 어셈블리는 전극 간 층들 (520) 내의 어퍼처들 간에 남아 있는 전극 간 재료 중 적어도 일부를 에칭 제거하도록 에칭 화학물질에 노출될 수도 있다. 에칭 화학물질은, 전극 재료는 상대적으로 에칭되지 않은 채로 남기면서 전극 간 재료를 선택적으로 에칭하도록 선택되어야 한다. 실리콘계 전극은 에칭하지 않고 석영 전극 간 재료를 에칭하기 위해 사용될 수도 있는 일 예시적인 화학물질은 플루오르화 수소산이다. 특정한 실시예들에서 사용될 수도 있는 다른 에칭 화학물질들은 BHF, BOE, HCL, NHO3, 아세트산, KOH, H2N2, NaOH, NH4OH, N2H4, 아세톤 또는 다른 케톤들, 메틸렌 클로라이드, 알코올들, TMAH, 및 이들의 조합들을 포함한다.
도 5d에서, 전극 간 재료는 완전히 에칭 제거되지 않는다. 오히려, 전극 간 재료는 지지부 형상들 (521) 로 에칭된다. 지지부 형상들 (521) 은 세트의 전극 각각에 접촉하도록 인접한 전극들의 세트들 사이에서 연장한다. 3차원이 고려될 때, 지지부 형상들 (521) 은 (에칭이 보다 광범위한 (extensive)) 별도의 개별 컬럼들 (columns) 일 수도 있고, 또는 지지부 형상들은 (에칭이 보다 덜 광범위한) 지지부 네트워크를 형성하도록 결합된 채로 남을 수도 있다. 도 5d의 화살표는 전극 어셈블리 (500) 의 어퍼처 (510) 를 통한 이온의 궤도를 나타낸다.
원하는 대로 전극들이 기능하게 하도록, 전극 간 재료는 전극들에 의해 생성된 전기장들/이온 궤도들에 유해하게 간섭하지 않아야 한다. 어퍼처들의 에지들로부터 전극 간 재료로의 에칭은, 아킹 (arcing) 또는 쇼트 없이 이온들을 가속하고 포커싱하는, 전극들이 원하는 대로 기능하는 것을 보장하는 것을 돕는다. 전극 간 재료를 에칭하는 것은 임의의 목표된 정도로 행해질 수도 있다. 예를 들어, 일부 경우들에서 전극 간 재료는 주변 영역을 제외하고 실질적으로 완전히 에칭 제거된다. 이 실시예에서, 전극 간 층은 도 3의 패널 A에 도시된 바와 같이 링 형상을 갖는다. 다른 실시예들에서, 전극 간 재료의 최소량 (예를 들어, 원하는 대로 이온 궤도들을 성형하기 위해 전극들에 필요한 최소량) 이 에칭 제거된다.
전극 간 재료가 에칭되는 정도는 에칭의 지속 기간, 에칭 용액의 강도, 및 전극들 내에 형성된 어퍼처들의 상대적인 기하학적 구조에 따른다. 에칭이 보다 길고 에칭 용액들이 보다 강할수록 에칭 정도가 보다 크고 따라서 지지부 형상들은 보다 덜 광범위하다. 근방의 어퍼처들 간의 거리들이 보다 작을수록 유사하게 에칭 정도가 보다 크고 지지부 형상들은 보다 덜 광범위하다. 전극 간 재료가 에칭 제거되는 정도와 연관된 절충 사항이 있다. 한편으로, 상당한 양의 전극 간 재료의 에칭은 전극 간 재료가 전극들에 의해 생성된 전기장들/이온 궤도들을 간섭하지 않는다는 것을 보장하는 것을 돕는다. 다른 한편으로, 적은 양의 전극 간 재료의 에칭은 전극 간 재료에 의해 제공된 구조적 지지를 유지하는 것을 돕는다. 상기에 언급된 바와 같이, 전극들이 충분히 견고하지 않으면, 부가적인 전극 간 재료 지지부들이 전극들이 프로세싱 동안 플랫 (flat) 하게 유지된다는 것을 보장하는 것을 도울 수 있다.
일부 구현예들에서, 전극 간 재료로 하여금 약간 전도성이 되게 하는 것이 바람직할 수도 있다. 이는 전극 간 재료로 하여금 전하를 제어된 방식으로 누출하게 한다. 예를 들어 전극 간 재료를 제조하기 위해 사용된 유리들이 In, Sn, Pb, Sb, 등과 같은 금속들을 도핑함으로써 약간 전도성이 될 수 있다.
도 5a 내지 도 5d의 실시예는 몇몇 장점들을 갖는다. 첫째로, 생성된 전극 어셈블리는 이웃하는 전극들 간에 많은 작은 전극 간 재료 지지부들의 존재로 인해 매우 강하고 견고하다. 전극들은, 매우 얇은 전극들이 긴 시간에 걸쳐 사용될 때에도, 구부러지거나 보잉하지 않는다. 이는 이온들이 균일하고 예측가능한 방식으로 전극 어셈블리를 통과한다는 것을 보장한다. 본 실시예의 또 다른 장점은 재료가 어퍼처들의 형성 동안 전극층들 사이에 트랩 (trap) 되지 않는다는 것이다. 도 2의 실시예에서, 예를 들어, 레이저 드릴링된 전극 재료 (더스트의 형태일 수도 있음) 가 추출 전극 (209) 과 포커스 전극 (211) 사이, 또는 포커스 전극 (211) 과 하부 전극 (213) 사이에 트랩될 수도 있다. 이러한 더스트는 반응 챔버 내에 전극 어셈블리를 설치하기 전에 제거되어야 할 수도 있다. 제거는, 예를 들어, 습식 욕 (wet bath) (예를 들어, HF, 다른 산들, 알코올들, 케톤들, 탈이온수, 및 이들의 조합에서) 또는 가스 플러싱 동작 (gas flushing operation) 을 수반할 수도 있다. 전극 간 구조체는 전극 간 재료의 고체층으로서 대신 구현되면, 어퍼처 측벽들을 제외하고, 더스트가 트랩될 여지가 없다. 또한, 어퍼처들의 측벽들에 부착된 임의의 더스트는 전극 간 재료가 다시 에칭될 때 제거된다.
언급된 바와 같이, 에칭 정도는 에칭의 지속 기간 및 에칭 화학물질의 강도뿐만 아니라 전극들의 어퍼처들의 상대적인 기하학적 구조들에 의해 영향을 받는다. 도 6a 내지 도 6c는 특정한 실시예에 따른 전극 및 전극 어셈블리를 도시한다. 이 예에서, 특정한 어퍼처들은, 형성된 전극 어셈블리 (600) 가 에칭 후에 상대적으로 적은 수의 지지부 형상들 (621) 을 갖도록 생략된다. 도 6a는 육각형 패턴으로 배열된 어퍼처들 (610) 을 갖는 전극 (609) 의 상면도를 도시한다. 특정한 어퍼처들은 "s"로 라벨링된 위치들에서 생략된다. 생략된 어퍼처들은 시간에 따라 공간적으로 균일한 이온 플럭스를 제공하도록 선택될 수도 있다. 생략된 어퍼처들은 전극 각각에 걸쳐서 균일하게 분포될 수도 있다. 일부 경우들에서, 기판의 회전은 이러한 생략된 어퍼처들로부터의 영향을 평균화하는 것을 돕는다.
도 6b는 각각 도 6a에 도시된 바와 같은 어퍼처 패턴을 갖는, 추출 전극 (609), 포커스 전극 (611), 및 하부 전극 (613) 을 포함하는 전극 어셈블리 (600) 의 단면도를 제공한다. 인접한 전극들의 각각의 쌍 사이에 전극 간 재료 층 (620) 이 있다. 도 6b는 어퍼처들 (610) 이 형성된 후, 그러나 전극 간 층 (620) 이 다시 에칭되기 전의 전극 어셈블리를 도시한다. 도 6b 및 도 6c의 전극 어셈블리 (600) 는 도 6a에 도시된 절단선 (612) 을 따라 도시된다. 도 6b에 도시된 바와 같이, 어퍼처들이 규칙적으로 나타나는 전극 (609) 의 구역들은 상대적으로 좁은 어퍼처 간 구조체들 (630) 을 생성한다. 반대로, 어퍼처들이 생략된 전극 (609) 의 구역들은 상대적으로 보다 두꺼운 어퍼처 간 구조체들 (631) 을 생성한다. 어퍼처 간 구조체들 (630 및 631) 각각은 추출 전극 (609), 전극 간 층 (620), 포커스 전극 (611), 또 다른 전극 간 층 (620), 및 하부 전극 (613) 을 포함한다.
도 6c는 전극 간 층 (620) 이 다시 에칭된 후 전극 어셈블리 (600) 를 도시한다. 어퍼처들 (610) 이 규칙적으로 존재하고 상대적으로 좁은 어퍼처 간 구조체들 (630) 이 형성되는 구역들에서, 전극 간 층 (620) 은 완전히 에칭 제거된다. 반대로, 어퍼처들이 생략되고 상대적으로 보다 두꺼운 어퍼처 간 구조체들 (631) 이 형성된 구역들에서, 전극 간 층 (620) 은 불완전하게 제거되고, 그리고 지지부 형상들 (621) 이 남는다. 지지부 형상 (621) 은, 어퍼처가 생략된 도 6a의 각각의 "s" 위치에 형성될 수도 있다.
도 4에 도시된 것과 유사한 가스 경로들이 도 5b 내지 도 5d 및 도 6b 및 도 6c의 전극 간 층들에 포함될 수도 있다. 가스 경로들은, 예를 들어 전극 어셈블리들에서 어퍼처들을 드릴링하기 위해 사용된 방법과 동일한 방법을 사용하여, 레이저 드릴링을 통해 또는 머시닝을 통해 형성될 될 수도 있다. 가스 경로들은 또한 어셈블리 전에 전극 간 재료 내로 그루브들을 사전 머시닝함으로써 형성될 수도 있다. 전극 간 재료가 구조체 (예를 들어, 도 3에서와 같이) 로서 구현된 경우들에서, 가스 경로들은, 전극 어셈블리를 형성하기 위해 전극 간 구조체가 전극들에 결합되기 전에 형성될 수도 있다. 전극 어셈블리의 어셈블리 후에 가스 경로들을 형성하기 위한 이러한 경우들에서 또한 가능하지만, 보다 어려울 수도 있다. 전극 간 재료가 전극들과 동시에 에칭된 고체 층으로서 구현되는 경우들에서, 가스 경로들은 전극 어셈블리가 함께 결합된 후, 어퍼처들의 형성 전 또는 형성 후에 형성될 수도 있다.
상기 언급된 바와 같이, 일부 실시예들에서, 정전 접합은 전극 간 재료에 전극들을 결합시키기 위해 사용될 수도 있다. 정전 접합은 또한 양극 접합 및 장 보조 접합 (field assisted bonding) 으로 지칭되고, 종종 실리콘 또는 금속에 유리를 시일링하도록 사용된다. 간단히 말하면, 정전 접합은 열 및 정전기 장의 인가를 통해 제 1 재료를 제 2 재료에 결합시키는 것을 수반한다. 제 1 재료는 전극 간 재료 (예를 들어, 유리) 일 수도 있고, 그리고 제 2 재료는 전극 (예를 들어, 실리콘계 전극) 일 수도 있다. 유리는 미리 형성된 층 또는 구조체로서 제공될 수도 있고, 또는 전극 상에 바로 증착 (예를 들어, 스퍼터링, 스핀-온 방법들, 또는 기상 증착 방법들을 통해) 될 수도 있다. 용어들 유리 및 전극 간 재료은 이 섹션에서 상호 교환가능하게 사용된다. 당업자는 용어 유리가 많은 상이한 가능한 조제들 (formulations) 을 포함한다는 것을 이해할 것이다. 정전기 장은 유리와 실리콘 사이에 강한 정전기 인력을 생성하는, 재료 계면에서 공간 전하의 생성을 가능하게 한다. 또한, 산소는 유리로부터 SiO2를 형성하기 위해 실리콘과 결합하는 유리-실리콘 계면으로 전기장에 의해 구동되고, 따라서 강한 영구 접합을 생성한다.
정전 접합을 수행하기 위해, 4 개의 기본적인 단계들이 수행된다: (1) 유리와 실리콘계 전극을 접촉시키는 단계, (2) 유리 및 전극을 가열하는 단계, (3) 유리를 전극에 접합하여 유리-전극 스택을 형성하도록 정전기 장을 인가하는 단계, 및 (4) 유리-전극 스택을 냉각하는 단계. 접합 프로세스는 접합 전압 및 접합 온도를 특징으로 한다. 접합 전압은 약 100 내지 10,000 V, 예를 들어 약 100 내지 1000 V일 수도 있다. 접합 온도는 약 20 내지 700 ℃, 예를 들어 약 100 내지 500 ℃일 수도 있다. 정전 접합은 대기압에서 발생할 수 있지만, 가스는 계면들에서 트랩될 수도 있어서, 접합에 보이드들 (voids) 을 형성한다. 이들 보이드들은 진공 분위기에서 접합을 수행함으로써 방지될 수도 있다. 진공 분위기는 약 10-8 Torr 내지 100 Torr, 예를 들어 10-5 Torr 내지 10-2 Torr일 수도 있다.
정전 접합은 종종 풀 테스트 (pull test) 를 겪을 때 약 10 내지 20 MPa의 강도를 갖는 접합을 발생시킨다. 이 강도는 다양한 경우들에서 유리의 파열 강도보다 높다. 즉, 일단 유리가 정전기적으로 접합되면, 전극으로부터 유리를 기계적으로 분리하는 것보다 유리를 파열시키는 것이 보다 쉬울 수도 있다.
전극 간 재료/유리 재료를 선택할 때 관련된 일 고려사항은 재료의 열 팽창 계수 (CTE) 이다. 전극 간 재료는 대략 전극의 CTE를 갖는 것이 바람직하다. 이 경우, 두 타입의 재료는 플라즈마 프로세싱에 사용될 때 유사한 방식으로 팽창하고 접촉한다. 그렇지 않으면, 재료들은 접합에 장력 (tension)/응력 (stress) 을 도입할 수 있는, 불균일하게 팽창하고 접촉할 수도 있다. 이러한 응력은 불량한 품질의 접합을 발생할 수 있다. 일부 경우들에서, 전극 간 재료의 CTE는 전극 재료의 CTE로부터 약 75 %보다 크게 다르지 않고, 일부 경우들에서 약 15 %보다 크게 다르지 않고, 다른 경우들에서 약 10 %보다 크게 다르지 않다. 이러한 CTE들의 매칭은 고 품질 접합을 보장하는 것을 돕는다. 일부 보로실리케이트 유리들이 실리콘과 매우 가까운 열 팽창 계수들과 매칭하도록 조제될 수 있다. CTE 매칭된 유리들의 일부 예들은 일본 Tokyo의 Hoya Corporation의 Hoya SD-2, NY, Corning의 Corning Inc.로부터의 Pyrex® 7740이다.
전극 간 재료의 선택에 영향을 주는 또 다른 인자는 이러한 재료의 조성이다. 언급된 바와 같이, 전극 간 재료는 일부 경우들에서 유리일 수도 있고, 다양한 타입들의 유리가 사용될 수도 있다. 유리는 일부 경우들에서 상대적으로 높은 함유량의 알칼리 금속들 (예를 들어, 적어도 약 1 중량% 예를 들어 적어도 2 중량% 또는 적어도 3 중량%) 을 가질 수도 있다. Pyrex 보로실리케이트는 약 3.5 %의 소듐 옥사이드 (Na2O) 함량을 갖는 일 예이다. 유리 내에서 이동성 금속들의 존재가 유리하다. 포지티브 금속 이온들 (예를 들어, Na+) 이 네거티브 전극에 끌리고, 고 네거티브 전위를 유리에 인가함으로써 네거티브 전극에서 중성화된다. 이는 유리-실리콘 전극 계면에서 공간 전하의 형성을 가능하게 하고, 결국 실리콘 전극과 유리 사이에 강한 정전기 인력을 생성한다. 접합 형성 동안 재료들을 가열하는 것은 포지티브 이온들의 이동도를 증가시키는 것을 돕는다.
특정한 경우들에서 사용될 수도 있는 광학적으로 민감한 타입들의 유리는 Schott Glass Corp.에 의해 제작되고 CA, Santa Barbara의 Invenios에 의해 유통된 Foturan 감광성 유리 (광 구조화가능 유리 세라믹 (photo structurable glass ceramic)) 을 포함한다. 이들 재료들은 광에 노출될 수 있고 바람직하게 에칭 제거 (노출된 부분) 될 수 있다. 이는 광학적으로 민감한 유리 (즉, 전극 간 구조체) 가 레이저로부터의 광에 노출되거나 (광에 대한 섀도우 마스크로서 머시닝된 전극을 사용하여) 광에 전극 스택을 노출시키는 동안, 전극들이 레이저 머시닝되는, 레이저 머시닝과 조합하여 사용될 수 있다. 일단 노출되면, 유리는 가열되고, 이어서 노출된 영역들은 2 내지 7 % (중량) 희석 HF와 같은 하나 이상의 적절한 유리 에천트들을 사용하여 에칭 제거된다. 감광성 유리의 사용은 많은 유리들 및 용융된 실리카에 대해 어려울 수 있는 레이저 드릴링에 대한 필요성을 제거한다.
도 5b에 도시된 바와 같은 전극 어셈블리를 제조하기 위해, 예를 들어, 4 개의 접합들이 생성될 필요가 있다: (1) 추출 전극 (509) 의 하부 표면과 상부 전극 간 층 (520) 사이의 일 접합, (2) 상부 전극 간 층 (520) 의 하부 표면과 포커싱 전극 (511) 의 상부 표면 간의 일 접합, (3) 포커싱 전극의 하부 표면과 하부 전극 간 층 (520) 의 상부 표면 간의 일 접합, 및 (4) 하부 전극 간 층 (520) 의 하부 표면과 하부 전극 (513) 의 상부 표면 간의 일 접합. 일부 실시예들에서, 이들 접합들 각각은 개별적으로 형성된다. 다른 경우들에서, 예를 들어 모든 접합들 중, 2 이상의 접합들이 동시에 형성된다.
전극 어셈블리를 형성하기 위한 예시적인 프로세스가 도 11에 도시된다. 전극들 (1102) 및 전극 간 층 (1103) 은 세정되고 진공 용기 (1104) 내부의 가열된 플래튼 (1101) 상에 위치된다. 가열된 압력 플레이트 (1100) 는 전극/전극 간 재료 스택 상에 위치되고 진공 용기 (1104) 내의 공기는 배기된다. 압력이 가열된 압력 플레이트 (1100) 에 인가되고, 전극들 (1102) 에 직접 접촉하게 함으로써 또는 가열된 플래튼 (1101) 및 가열된 압력 플레이트 (1100) 사이에 전압을 인가함으로써 전압이 2 개의 전극들 (1102) 사이에 인가된다. 전압 및 온도는 소정의 시간 동안 또는 전류 밀도가 설정된 값으로 떨어질 때까지 인가된다. 이어서 프로세스는 다른 전극들 및 전극 간 재료들이 원하는 대로 부가하기 위해 반복될 수 있다.
다른 실시예들에서, 전극들은 정전 접합 없이 함께 기계적으로 고정될 수도 있다. 예시적인 기계적 결합 방법들이 도 7a 내지 도 7c에 도시된다. 이들 도면들에서 개괄된 방법들은 또한 서로 조합될 수도 있다. 기계적 결합 방법들은 내화성 재료들로 이루어진 전극들에 특히 유리할 수도 있다. 일 타입의 기계적 결합 방법은 전극들을 함께 고정시키기 위해 전극들 간에 접착제 또는 유리 프릿들을 인가하는 것을 수반한다. 도 7a는 이 방법을 예시한다. 이 경우에서, 전극 어셈블리 (700A) 는 추출 전극 (709), 포커스 전극 (711), 하부 전극 (713), 및 접착제 층들 (730) 을 포함한다. 예시적인 접착제들은, 이로 제한되는 것은 아니지만, 유리 프릿들, 에폭시들, 또는 다른 열 경화성 폴리머 또는 열 가소성 폴리머, 공융 접합 재료들 (eutectic bonding materials), 땝납들을 포함하고, 그리고 Pb-계 유리 프릿들, B-계 유리 프릿들, B-P-계 유리 프릿들, 에폭시들, 실리콘들, 폴리이미드들, 등을 포함할 수도 있다.
또 다른 타입의 기계적 결합 방법은 전극들 각각으로 하나 이상의 가이드 홀들을 커팅하는 (cutting) 단계, 및 전극들을 정렬하기 위해 가이드 홀들 각각을 통해 핀 또는 다른 구조체를 삽입하는 단계를 수반한다. 도 7b는 이 방법을 예시한다. 여기서, 전극 어셈블리 (700B) 는 상기 언급된 전극들 (709, 711, 및 713) 뿐만 아니라, 핀들 (736) 및 전극 스페이서들 (737) 을 포함한다. 핀들 (736) 은 전극들 각각의 가이드 홀들 (735) 에 피팅된다. 핀들 (736) 은 전극들 (709, 711 및 713) 을 서로에 대해 이동하는 것을 방지하기 위해 가이드 홀들 (735) 내에 상대적으로 타이트하게 피팅되어야 한다. 전극 스페이서들 (737) 은 인접한 전극들 간에 적절한 분리 거리를 유지하도록 제공될 수도 있다. 전극 스페이서들 (737) 은 임의의 형상 (예를 들어, 블록들, 링들, 등) 일 수도 있고, 드릴링 동안 플랫 형상으로 전극들을 유지하기에 충분한 정도로 제공되어야 한다. 전극 스페이서들 (737) 은 도 7b에 도시된 바와 같이, 핀들 (736) 의 방사상 내부에 제공될 수도 있고, 또는 전극들이 충분히 견고하다면 핀들 (736) 의 외부에 제공될 수도 있다. 일부 경우들에서, 가이드 홀들 (735) 은 프로세싱 동안 이온들이 통해 이동하는 어퍼처들일 수도 있다. 특히, 적은 수의 가이드 홀들 (735) 만이 전극 어셈블리 (700B) 가 조립되고 (put together) 나머지 어퍼처들이 형성되기 전에 드릴링되어야 한다. 핀들 (736) 은 전극들이 반응 챔버 내에 설치되기 전 또는 설치된 후에 제거될 수도 있다. 설치 후 제거는 전극들이 어퍼처들과 완전히 정렬되어 설치되는 것을 보장하는 것을 도울 수 있다. 일부 설계들에서, 핀들 (736) 은 전극 어셈블리 (700B) 내에 남아 있고, 전체 전극 어셈블리 (700B) 는 반응 챔버 내에 설치되고 반응 챔버 내에 남는다. 이러한 경우들에서, 핀 재료의 선택은 중요하다 (재료는 상이한 레벨들의 바이어스가 전극 각각에 인가될 수 있도록 절연되어야 한다).
다른 타입의 기계적 정렬은 레이저 간섭 측면정 시스템에 의해 제어된 정밀 광학 테이블 상에 제 1 전극을 위치 (및 고정) 시키는 것 및 하나 이상의 어퍼처들 또는 전극들 내로 에칭되거나 머시닝된 참조 마크들의 위치를 광학적으로 또는 기계적으로 측면정하는 것을 수반한다 (예를 들어, 이러한 마크들은 어퍼처가 목표되는 곳에 위치됨). 이어서, 일 전극 간 재료가 제 1 전극의 상단에 배치되고 제 1 전극 상의 대응하는 마크들의 측면정치들을 참조하여 광학적 또는 기계적으로 하나 이상의 어퍼처들 또는 참조 마크들의 위치를 측면정함으로써 제 1 전극에 대해 위치된다. 일단 필요한 정밀도로 정렬되면, 전극 간 재료는 제 1 전극에 클램핑된다 (clamped). 다음에, 제 2 전극이 동일한 방식으로 제 1 전극에 대해 위치되고, 전체 전극 스택이 정렬되고 클램핑될 때까지 계속된다. 클램핑 후에, 어셈블리는 또한 글루들 (glues), 프릿들 또는 양극 접합을 사용하여 접합될 수도 있다.
다른 타입의 기계적 결합 방법이 도 7c에 도시된다. 전극 어셈블리 (700C) 는 상기 기술된 바와 같이 전극들 (709, 711, 및 713) 을 포함한다. 그러나, 이 예에서 전극들은 브라켓들 (740) 에 의해 분리된다. 브라켓 (740) 은 임의의 적합한 형상의 기계적 정렬 브라켓일 수도 있다. 따라서, 이 경우에는 가이드 홀들을 드릴링할 필요가 없다. 브라켓들은 임의의 적절한 설계일 수도 있다. 도 7c의 예에서, 브라켓들은 전극 각각의 주변 에지 둘레에서 연장함으로써 전극 각각을 개별적으로 지지한다. 전극 스페이서들 (737) 은 전극들을 지지하고 드릴링 동안 전극들이 플랫하게 남아 있다는 것을 보장하는 것을 돕도록 사용될 수도 있다. 임의의 수의 브라켓들이 사용될 수도 있다. 예를 들어, 일부 예들에서 단일 브라켓이 사용될 수도 있다. 이 경우, 브라켓은 주변의 상당한 부분 (예를 들어, 전체 주변부 또는 전체 주변부의 적어도 약 90 %, 또는 적어도 약 95 %) 둘레에서 연장할 수도 있다. 브라켓은 가요성일 수도 있고, 또는 전극들로 하여금 브라켓 내에 배치되게 하는 조인트 (joint) 를 포함할 수도 있다. 다른 경우들에서, 2 이상의 브라켓들이 사용될 수도 있다. 브라켓들은 상대적으로 작을 수도 있고 (예를 들어, 브라켓 각각은 하나의 좁은 각도 스폿 (spot) 에서 전극들을 지지함) 또는 상대적으로 클 수도 있다 (예를 들어, 브라켓 각각은 보다 넓은 각도 위치에 전극들을 지지하도록 어느 정도 주변부 둘레에서, 2 개의 브라켓들이 사용되는 경우 브라켓 당 최대 약 180 °연장함). 전반적으로, 브라켓들은 이들의 주변부에 전극들을 완전히 또는 부분적으로 지지할 수도 있다. 복수의 브라켓들이 사용되면, 브라켓들은 전극 어셈블리 (700C) 에 부가적인 기계적 안정성을 제공하기 위해 함께 피팅/스냅 (snap)/또는 달리 고정될 수도 있다. 브라켓들 (740) 은 반응 챔버 내에 설치되기 전 또는 설치되는 동안 제거될 수도 있고, 또는 설치 또는 프로세싱 동안 전극들에 연결된 채로 남아 있을 수도 있다. 도 7b의 핀들 (736) 의 사용과 같이, 브라켓들은 동작 동안 설치된 채로 남아 있다면 절연되어야 한다. 또한, 브라켓들이 프로세싱 동안 존재하고 전극들의 전체 주변부를 지지하도록 성형된다면, 브라켓들은 전극 각각에 전기적 연결을 허용하기 위한 (전극 각각에 바이어스를 인가하기 위한) 통과 지점들 (pass-throughs) 을 포함할 수도 있다.
또 다른 타입의 기계적 결합 방법은 도 7d에 도시된 바와 같은, 클램프들의 사용을 수반한다. 따라서, 전극들 (709, 711, 및 713) 은 서로에 대해 (원한다면 부가적인 스페이서들이 전극들을 분리하도록 사용될 수도 있지만) 직접적으로 샌드위치된다. 클램프 (745) 는 서로에 대해 전극들을 고정시키도록 제공된다. 부가적인 기계적 보안을 제공하는 보다 많은 수의 클램프들과 함께, 임의의 수의 클램프들 (745) 이 사용될 수도 있다. 명료성을 위해 단일 클램프 (745) 만이 도 7d에 도시되었다.
도 7b 및 도 7c의 실시예들은 또한 어퍼처 형성 동안 전극들 (709, 711 및 713) 사이에 어떠한 스페이서들도 없이, 전극들 (709, 711 및 713) 이 서로 직접적으로 접촉하도록 수정될 수도 있다. 어퍼처들이 형성되는 동안 전극들 사이에 공간을 유지할 필요는 없다. 한편, 이러한 공간은 프로세싱 동안 필요하다. 이와 같이, 이 경우 전극들은 어처퍼들이 형성된 후에 분리되고 개별적으로 설치되어야 한다. 이 실시예는 단일의 통일된 프로세스 및 매우 정렬된 방식으로 어퍼처들이 전극들 각각 내에 동시에 형성되기 때문에 종래 기술에서 알게 된 바로부터 계속해서 변한다. 어퍼처 형성 후에 전극들이 개별적으로 설치되는 임의의 구현예에서, 어퍼처들은 레이저에 의해 또는 다른 광학적 방법들을 통해 정렬될 수도 있다.
전극들을 전극 어셈블리 내로 결합하기 위해 사용된 방법과는 무관하게, 어퍼처들은 이러한 어셈블리들이 함께 결합된 후에 형성될 수도 있다. 어퍼처들은 일부 경우들에서 레이저 드릴링에 의해 형성될 수도 있다. 레이저 드릴링은 포커싱된 레이저 빔으로부터의 에너지의 흡수를 통해 워크피스 재료 (즉, 전극) 의 용융 및/또는 기화를 통해 발생할 수도 있다. 일부 경우들에서, CO2 레이저, UV 레이저 또는 DPSS 레이저와 같은 고 전력 산업용 레이저가 사용된다.
특정한 실시예들에서, 전 출력 (full power) 의 레이저 드릴링은 수용불가능한 온도 상승, 전극 간 재료 파열, 또는 과도한 홀 테이퍼를 발생시킨다. 이 문제를 해결하고 냉각을 허용하기 위해, 레이저는 드릴링 동안 펄싱될 수도 있다. 펄싱은 가열 정도를 감소시킬 수도 있고 따라서 드릴링 결과들을 개선한다. 레이저 드릴링에 의해 전달된 예시적인 피크 전력 레벨들은 약 50 내지 5000 μJ/pulse, 예를 들어 약 200 내지 500 μJ/pulse의 범위일 수도 있다. 레이저가 펄싱되면, 이러한 펄싱의 주파수는 약 25 내지 500 ㎑, 예를 들어 약 20 내지 200 ㎑일 수도 있다. 펄스 각각의 지속 기간은 약 1 내지 50 ㎲, 예를 들어 약 5 내지 20 ㎲일 수도 있다. 당업자는 레이저의 선택 및 전극 재료 및 전극 간 재료의 선택이 최적의 전력 레벨들, 펄싱 주파수, 펄스 지속 기간, 듀티 사이클, 등에 영향을 줄 것이라는 것을 이해할 것이다. 이와 같이, 개시된 파라미터들은 단순히 안내를 위해 제공되고 철저하거나 제한하는 것으로 의도되지 않는다.
SiO2-계 전극 간 재료들 (예를 들어, 융해된 실리카, 또는 보로-실리케이트 유리들, 등) 을 레이저 드릴링하는 것은 접합된 전극 스택이 극복할 수 있는 몇몇 문제들을 갖는다. 첫째로, 레이저 드릴링된 출구 (exit hole) 는 드릴링 프로세스 동안 음향적 충격으로 인해 하부측면 상에서 칩핑 (chipping) 및 브레이크 아웃 (breakout) 을 경험하는 것이 일반적이다. 모든 전극 간 재료들이 접합된 스택 내에서 전극 재료들 사이에서 접합되기 때문에, 칩핑 및 브레이크 아웃은 방지될 수 있다. 두번째로, 두꺼운 SiO2-계 재료들내에서의 열 증가로 인해 마이크로-크랙킹이 발생할 수 있다. 전극 간 재료는 열적으로 전도성 전극들에 접합되기 때문에, 열 소실 경로들이 마이크로-크랙킹을 최소화하거나 방지하도록 제공된다.
전극 어셈블리의 구성에 따라, 어퍼처 형성 프로세스는 전극 재료를 통한 또는 전극 재료 및 전극 간 재료 양자를 통한 드릴링만을 수반할 수도 있다. 전극 간 재료가 (도 2에 도시된 바와 같이) 어퍼처들과 중첩하지 않도록 스냅되고 위치되면, 어퍼처 형성 프로세스는 전극 재료를 통한 드릴링만을 필요로 할 것이다. 한편, 전극 간 재료가 (도 5b 내지 도 5d 및 도 6a 내지 도 6c에 도시된 바와 같이) 목표된 어퍼처 위치들과 중첩하면, 어퍼처 형성 프로세스는 전극 재료 및 전극 간 재료 양자를 통한 드릴링을 필요로 할 것이다.
일부 경우들에서, 레이저 드릴링 프로세스가 발생할 때 에칭되는 상이한 재료들에 대해 설명하기 위해 특정한 파라미터들은 레이저 드릴링 프로세스 동안 변한다. 예를 들어, 레이저 드릴링 프로세스는 레이저의 전력, 듀티 사이클, 파장, 펄스 주파수, 등을 가변함으로써 어셈블리 내의 재료 각각에 대해 최적화될 수 있다. 이들 변화들은, 전극 어셈블리의 상이한 재료들의 다양한 레이저 흡수, 반사, 희생 온도 (oblation temperature) 및 열 전도성 특성들을 수용하도록 이루어질 수도 있다. 또한, 상기에 언급된 바와 같이, 일부 경우들에서 상이한 타입의 프로세스가 전극들을 관통 드릴링하기 위해 또는 전극 간 재료를 관통 드릴링하기 위해 사용된다 (예를 들어, 전극 간 재료는 광 민감성 유리).
재료들 내의 레이저 드릴링된 홀들은 종종 레이저 주입 측면 상에서 통상적으로 보다 크고 레이저 출구 측면 상에서 보다 작은 테이퍼를 갖는다. 이 테이퍼는 일반적으로 약 2 내지 10 도이다. 플라즈마 소스 측면에서 보다 작고 다운스트림 (즉, 기판과 마주보는 측면) 에서 보다 큰 홀을 갖는 것은 전극 스택에서 유리할 수도 있다. 이 테이퍼는 이온들이 전극 스택을 통해 이동하기 때문에, 전극 재료 및 전극 간 재료의 흩어지는 (scatter off) 이온들의 양을 감소시킨다. 따라서, 전극 스택이 스택의 이온 출구 측면 (즉, 전극 어셈블리의 기판과 마주보는 측면) 으로부터 레이저 드릴링되는 것이 유리할 수도 있다. 이 측면으로부터 레이저 드릴링함으로써, 목표된 테이퍼가 성취될 수 있다.
특정한 실시예들에서 전극 스택 내의 어퍼처들은 스택의 이온 주입 측면으로부터 시작하여 계속해서 보다 커지게 된다. 전극 스택의 홀 직경은 후속하는 전극 각각에서 (이온 주입 측면으로부터 시작하여) 0 내지 30 % 증가할 수도 있다. 예를 들어 직경은 전극 각각에서 이전의 전극에 비해 5 내지 15 %만큼 증가할 수도 있다. 적절한 어퍼처 패턴들 및 크기들은 상기에 논의되었다.
특정한 실시예들에서, 어퍼처들은 리소그래피 프로세스 및 이어서 에칭 프로세스에 의해 형성된다. 현대의 리소그래피 프로세스들은 패턴들의 크기 및 위치를 정밀하게 제어할 수 있기 때문에, 어퍼처 정렬 에러들이 상당히 감소될 수도 있다. 독일, Heidelberg의 Heidelberg Instruments Mikrotechnik GmbH의 DWL-400과 같은, 레이저 기반, 직접 기록 리소그래피 시스템들은 350 ㎚ 이상으로 어퍼처 패턴들을 위치시킬 수도 있고, 120 ㎚보다 큰 어퍼처 홀 사이즈 정밀도를 생성할 수 있다. 기계적 드릴링에 의해 달성될 수 있는 정밀도보다 500 내지 1000배 더 크다. 스캐너들 및 반복 인화 (step-and-repeat) 시스템들과 같은 다른 광학적 리소그래피 시스템들은 유사하거나 보다 양호한 성능을 생성할 수 있다. 일단 리소그래피 패턴들이 노출되고 현상되면, 이어서 이들 패턴들은 전극들 및 전극 간 재료들로 별도로 에칭될 수 있다. 어퍼처 위치 정확도가 매우 정밀하기 때문에, 모든 전극들에 걸쳐, 전극 스택 내에 모든 어퍼처들을 정렬하는 것이 가능하다.
중공 캐소드 이미터
본 명세서의 임의의 실시예들은 중공 캐소드 이미터 전극일 수도 있는, 부가적인 전극을 포함하도록 수정될 수도 있다. 특정한 실시예들에서, 중공 캐소드 이미터 전극은 추출 전극 위에 다수의 고 밀도 이온 소스들을 생성하도록 추출 전극 위에 제공된다. 중공 캐소드 이미터는 (예를 들어, 본 명세서에 기술된 바와 같이 선택적인 전극 간 재료 외에 다른 중재 구조체들을 갖지 않고) 추출 전극이 포커스 전극 바로 위에 있는 것과 동일한 방식으로 추출 전극 바로 위에 있을 수도 있다. 사실상, 중공 캐소드 이미터 전극 내의 어퍼처 각각은 중공 캐소드 이미터로서 작용하여, 다수의 국부적인 고 밀도 이온 소스들을 제공한다. 다른 실시예들에서, 중공 캐소드 이미터는 추출 전극 자체에 통합될 수도 있다. 중공 캐소드 이미터 전극 내의 어퍼처들은 다른 전극들 내의 어퍼처들과 정렬되도록 설계되거나 구성된다. 따라서 중공 캐소드 이미터 전극은, 중공 캐소드 이미터들 내에서 생성된 상당한 수의 이온들이 전극들을 통해 웨이퍼로 성공적으로 이송되기 때문에, 활성 이온 생성의 효율을 상승시킨다. 이는 보다 낮은 에너지 레벨들에서 고 밀도 이온 생성이 가능하게 한다. 비교하면, ICP 플라즈마 소스 또는 다른 전-웨이퍼 (즉, 비-국부적) 플라즈마 소스가 사용되면, 이온들을 생성하는데 사용된 많은 에너지는 많은 생성된 이온들이 전극들의 상부 표면에 부딪치기 때문에 효과적으로 소모될 수도 있다. 따라서 이들 이온들은 전극들을 통해 이동하지 않고, 웨이퍼와 상호작용하지 않는다.
중공 캐소드들은 통상적으로 내부 표면 상에 이미터 재료를 갖는 전도성 튜브/실린더를 포함한다. 중공 캐소드 이미터 전극의 맥락에서, 전도성 튜브들/실린더들은 어퍼처들이다. 이미터 재료는 바람직하게, 재료로 하여금 고 2차 전자 수율을 갖게 하는, 저 일 함수를 갖는다. 예시적인 이미터 재료들은 이로 제한되는 것은 아니지만, 실리콘, 텅스텐, 몰리브덴, 레늄, 오스뮴, 탄탈룸, 알루미늄, 티타늄, 및 토륨 텅스텐을 포함한다. 이미터는 또한 2차 전자 수율을 향상시키거나 스퍼터링에 대한 부식을 방지하기 위한 재료로 코팅될 수도 있다. 이 코팅은 기상 증착, 스프레이 온, 전기 도금, 무전해 도금, 화학적 기상 증착, 플라즈마 강화 화학적 기상 증착, 페인티드 온 (painted on), 스핀 온, 등일 수도 있다. 부가적으로 전극 재료는 양극산화될 수도 있다. 통상적으로, 전극은 단일 재료만을 포함하고; 즉, 이미터 재료는 전극 재료이다. 중공 캐소드 이미터 전극의 전체적인 형상 (예를 들어, 두께, 직경) 은 다른 전극들의 형상과 실질적으로 동일할 수도 있다. 에칭 동안, 가스 및/또는 플라즈마는 중공 캐소드 이미터 전극의 업스트림으로 공급/업스트림에서 생성될 수도 있다. 플라즈마가 중공 캐소드 이미터 전극으로부터 업스트림에서 생성되면, 이러한 플라즈마는 유도 결합 플라즈마, 용량 결합 플라즈마, 트랜스포머 결합 플라즈마, 마이크로파 플라즈마, 등일 수도 있다. 플라즈마는 중공 캐소드 이미터 전극 위에서 원격으로 또는 반응 챔버 내에서 생성될 수도 있다. 중공 캐소드 이미터 전극은, 단일 300 ㎜ 기판이 존재한다고 가정하면, 예를 들어 약 50 내지 5,000 W로 RF 바이어스될 수도 있다. 방출된 전자들은 가스가 중공 캐소드 이미터 전극을 통해 이동하기 때문에 어퍼처 각각의 가스를 이온화한다. 이온화 메커니즘은 도 9에 대하여 이하에 더 논의된다.
특정한 실시예들에서, 중공 캐소드 이미터 전극의 어퍼처들은 고 밀도 이온 형성을 촉진하는 형상을 갖도록 구성된다. 이 목적을 달성하는 일 예시적인 형상은 절단된 원뿔형 (frustoconical) 어퍼처이다. 인버팅된 원뿔들 (cones), 돔들, 인버팅된 피라미드들, 등과 같은 다른 형상들이 또한 이온 형성을 촉진하도록 사용될 수도 있다. 일반적으로, 하단부와 비교하여 상단부에서 보다 넓은 어퍼처 형상들이 특히 유용하다.
특정한 실시예들에서, 중공 캐소드 이미터의 다운스트림에서보다 업스트림에서 보다 높은 가스 압력을 갖는 것이 바람직하다. 이미터에 걸쳐 압력 강하를 인에이블하기 위해, 이미터 홀들을 통한 가스 전도도는 낮아야 한다. 일부 경우들에서, 전극 스택을 통한 가스 전도도는 약 10,000 L/min 미만일 수도 있다. 예를 들어, 가스 전도도는 약 50 내지 1000 L/min일 수도 있다. 예를 들어, 약 1 Torr 압력 차 (중공 캐소드 이미터 위 대 중공 캐소드 이미터 아래) 는 어퍼처의 최소 직경 (도 8의 치수 d2) 을 좁게 함으로써 달성될 수 있다. 예를 들어, 0.5 ㎜의 d2 직경 및 1 ㎜의 길이 d3를 갖는, 1000 개의 어퍼처들의 어레이는 약 800 L/min의 가스 전도도를 가질 것이고 가스가 약 1 SLM 플로우 레이트로 흐를 때 약 1 Torr의 압력 강하를 경험할 것이다.
전극 어셈블리를 통한 가스 전도도가 감소되는 실시예들에서, 가스 바이패스 경로가 사용될 수도 있다. 이 가스 바이패스 경로는 전극 어셈블리, 예를 들어 전체 어셈블리의 최초 펌프 다운 동안, 전극 어셈블리에 걸친 과도한 압력 차를 방지하도록 개방될 것이다. 이 가스 바이패스 경로는 이어서 압력 차가 목표된 대로라면, 동작 동안 폐쇄될 수 있다.
도 8은 절단된 원뿔 형상의 어퍼처들 (814) 을 갖는 중공 캐소드 이미터 전극 (854) 의 확대 단면도를 제공한다. 어퍼처 (814) 각각은 중공 캐소드 이미터 전극 (854) 의 상부 표면 (818) 상에서 제 1 직경 d1 및 중공 캐소드 이미터 전극 (854) 의 하부 표면 (820) (또는 웨이퍼 측면) 상에서 제 2 직경 d2을 갖는다. 제 1 직경 d1은 제 2 직경 d2보다 크다. 일부 경우들에서, 제 1 직경 d1은 약 1 ㎜ 내지 20 ㎝이다. 제 2 직경 d2은 약 0.1 ㎜ 내지 10 ㎝일 수도 있다. 제 1 직경에 대한 제 2 직경 (d1/d2) 의 비는 약 1.2 내지 10일 수도 있다. 알 수 있는 바와 같이, 어퍼처들 (814) 의 상부 측면은 일반적으로, 어퍼처들의 내부 직경이 d2와 같아질 때까지 90 ° 챔퍼 (chamfer) 에 의해 내측으로 테이퍼되는, 절단된 원뿔 형상이다. 챔퍼 각은 도 8에 도시된 바와 같이 측정된다. 다른 실시예들에서, 원뿔형 부분은 상이한 챔퍼 각, 예를 들어 약 45 내지 120 °를 갖는다. 절단된 원뿔형 부분은 중공 캐소드 이미터 전극 (854) 의 두께를 통과하여 거의 절반 정도에서 실린더형 부분과 만나고, 따라서 어퍼처들 (814) 은 원뿔형 부분 (817) 및 실린더형 부분 (819) 모두를 갖는 것을 특징으로 할 수도 있다. 어퍼처 (814) 의 실린더형 부분 (819) 은 도 8에서 d3로 나타낸 높이를 갖는다. 일부 경우들에서, 실린더형 부분 (819) 의 높이는 약 0.2 ㎜ 내지 2 ㎝이다. 이들 또는 다른 경우들에서, 원뿔형 부분 (817) 의 높이는 약 0.5 ㎜ 내지 2 ㎝이다. 원뿔형 부분 및 실린더형 부분을 갖는 어퍼처의 맥락에서 도시되는 동안, 본 명세서에서 어퍼처 직경들 및 높이들은 또한 상이하지만 유사한 형상들의 어퍼처들에 적용할 수도 있다.
도 9는 중공 캐소드 이미터 전극 (954) 의 챔퍼된 어퍼처들 (914) 을 통과하는 마이크로-젯, 저 에너지 이온 생성 영역을 예시한다. 중공 캐소드 이미터 전극 (954) 위에서 1차 플라즈마 방전의 결과로서, 국부적인 시스 (922) 가 어퍼처들 (914) 내에서 생성되어, 전기장을 발생시킨다. 1차 플라즈마 방전은 중공 캐소드 이미터 전극 (954) 의 업스트림의 임의의 적절한 플라즈마 소스로부터 일 수도 있다. 예시적인 플라즈마 소스들은 유도 결합 플라즈마 소스들, 용량 결합 플라즈마 소스들, 마이크로파 플라즈마 소스들, 리모트 플라즈마 소스들, 등을 포함한다.
1차 플라즈마 방전로부터의 전자들 및 이온들은 어퍼처들 (914) 로 들어가고 어퍼처 각각을 통해 중공 캐소드 이미터 전극 (954) 의 하부 표면 (920) 으로 (점선 화살표들 (923) 로 나타낸) 전류 경로를 생성한다. 전류 라인들 (화살표들 (923)) 이 어퍼처들 (914) 에 도달하도록 수렴하기 때문에, 전류 밀도는 증가하고, 어퍼처 내에 보다 치밀한 플라즈마의 형성을 유발하여, 좁은 플라즈마 시스를 갖는 플라즈마 젯을 형성한다. 마이크로-젯의 증가된 플라즈마 밀도는 또한 어퍼처들 내에서 중성자들의 밀도를 감소시키는 중성자 온도를 상승시킬 수도 있다. 이들 효과들의 조합은 전자 온도를 상승시키고 마이크로-젯에서 방출의 화학적 성질을 변화시킬 수도 있다. 부가적으로, 이온들은 또한 시스에 의해 가속되고 어퍼처들 (914) 의 내측 표면 (924) 에 부딪쳐, 2차 전자들을 배출한다. 고 밀도 플라즈마와 연관된 보다 좁은 시스들은 충돌들이 거의 없이 시스 (922) 에 걸쳐 전자들의 가속을 허용하여 마이크로-젯에서 매우 에너제틱한 전자들의 생성을 발생시킨다. 2 차 이온들은 중성 가스 분자들과 충돌하기에 충분한 에너지를 얻어, 중성 가스 분자들을 이온화하고 어퍼처들을 통해 마이크로-젯 형상 방출부 (926) 를 생성한다.
일부 경우들에서, 1차 플라즈마 방전은 생략될 수도 있다. 즉, 중공 캐소드 이미터 전극은 플라즈마/이온들의 유일한 소스일 수도 있다. 이들 실시예들에서, 이온 형성을 위해 캐스캐이드 (cascade) 하기 시작하는 최초의 고 에너지 전자들이 중공 캐소드 이미터 전극에 인가된 RF 바이어스의 결과로서 생성된다. 고 전압 구배들 및/또는 긴 어퍼처들은 마이크로-젯의 형성을 촉진하는 것을 돕는다. 이들 고려 사항들은 고 에너지 이온들이 또한 중공 캐소드 이미터 전극의 1차 플라즈마 업스트림으로부터 제공되면 보다 덜 중요하다. 별도의 플라즈마 소스가 중공 캐소드 이미터 전극 너머에 포함되지 않으면, 중공 캐소드 이미터 전극 상의 RF 바이어스는 약 500 내지 10,000 W일 수도 있다. 별도의 플라즈마 소스가 포함되면, 바이어스는 보다 덜 광범위할 수도 있다.
마이크로-젯 방출부 (926) 는 궁극적으로 웨이퍼 상에 충돌하는 이온들의 1차 소스이다. 부가적으로, 어퍼처들 (914) 의 저 종횡비 (직경 d 2 을 높이 d 3 로 나눔) 가 마이크로-젯 방출을 향상시킨다는 것이 경험적으로 결정되었다. 그러나, 어퍼처들 (914) 의 높이 (즉, 중공 캐소드 이미터 전극 (954) 의 두께) 가 너무 작아지면, 전극의 냉각 능력이 제거된다. 한편, 어퍼처의 직경이 너무 커져 종횡비가 보다 낮아지면, 플라즈마 방전을 균일하게 분산시키는 중공 캐소드 이미터 전극의 효율은 약화된다. 따라서, 본 실시예들의 어퍼처들 (914) 은, 여전히 효과적인 전극 냉각을 허용하면서, 이온 풍부 플라즈마의 효과적인 생성 및 어퍼처들을 통한 웨이퍼로의 이동을 위해 보다 낮은 종횡비를 제공하도록 구성될 수도 있다.
신뢰성 있는 마이크로-젯들을 생성하기 위해 필요한 어퍼처들 (914) 의 사이즈 결정 (sizing) 및 종횡비는, 플라즈마 전력, 압력, 가스 조성, 등과 같은 파라미터들을 포함하는, 프로세스 조건들의 함수이다. 이 프로세스에서, 어퍼처들 (914) 에서 마이크로-젯들의 "점화 (lighting)" 는 균일한 프로세싱을 달성하기 위해 요구된다. 기술된 프로세스는 이러한 균일한 프로세싱을 생성하기 위해 마이크로-젯들의 균일하고 신뢰성 있는 점화를 달성한다. 이는 전기장/전자기장을 차폐 또는 생성/조절하기 위해 사용된 천공된 (perforated) 플레이트가 마이크로-젯 방출들을 신뢰성 있게 형성하지 못하는, 그리드들과 같은, 다른 애플리케이션들과는 상이하다. 유사하게, 본 발명 실시예들은 홀들을 갖는 천공된 플레이트가, 마이크로-젯 방출을 형성하지 않고 플라즈마가 플레이트의 어퍼처들을 통과하는 전자 렌즈 또는 이온 렌즈로서 사용되는, 다른 종래 기술과 상이하다.
중공 캐소드 이미터 전극의 사용은 상대적으로 보다 낮은 전압들/추출 장들을 사용하여 고 밀도 이온 추출을 가능하게 한다. 이는 고 에너지 이온들로부터 웨이퍼 상의 대미지를 감소시키는 것을 도울 수도 있다. 중공 캐소드 이미터가 사용되면, 약 100 내지 10,000 W로 RF 바이어스될 수도 있다. 이러한 경우들에서, 추출 전극은 하부 전극에 대하여 약 20 내지 10,000 V로 바이어스될 수도 있고, 포커스 전극은 추출 전극과 하부 전극 간의 중간 전위, 또는 추출 전극보다 높은 전위로 바이어스될 수도 있다. 하부 전극은 접지되거나 웨이퍼의 레벨에 대해 바이어스, 예를 들어 약 0 내지 기판에 대해 -1,000 V일 수도 있다. 설치될 때 추출 전극/포커싱 전극/하부 전극 간의 전위 구배는 약 0 내지 5,000 V/㎝일 수도 있다.
반사기
본 명세서의 임의의 실시예들은 하부 전극 아래에 반사기들의 세트를 포함하도록 수정될 수도 있다. 반사기들은 비용이 많이 드는 플러드 건 (flood gun) 을 사용하지 않고 이온 빔을 중성화하도록 사용될 수도 있다. 특히, 반사기 표면에 충격을 주는 이온들은 중성 입자들이 될 전자들을 픽업 (pick up) 한다. 또한, 반사기들은, 상대적으로 낮은 운동 에너지로 이동하고 반사기들에 부착될 수도 있는, 스퍼터링된 재료를 캡처할 수도 있다. 일부 경우들에서, 반사기들은 축퇴 도핑된 실리콘, 금속 호일 또는 금속 플레이트 (예를 들어, 실리콘, 텅스텐, 몰리브덴, 레늄, 오스뮴, 탄탈룸, 알루미늄, 티타늄, 또는 토륨 텅스텐) 과 같은 재료로 이루어진다.
도 10은 이온 빔 에칭을 수행하기 위한 반응 챔버 (1000) 를 예시한다. 이 예에서, 중공 캐소드 이미터 전극 (1054), 추출 전극 (1009), 포커스 전극 (1011), 및 하부 전극 (1013) 을 포함하는 4 개의 전극들이 사용된다. 도 10에 도시된 바와 같이, 중공 캐소드 이미터 전극 (1054) 의 어퍼처들 (1010) 은 상기 기술된 바와 같이 절단된 원뿔형 또는 다른 형상을 가질 수도 있다. 하부 전극 (1013) 아래에, 반사기들 (1020) 의 세트가 부착된다. 반사기들 (1020) 은 전극 (1013) 의 표면 법선에 대해 약 0.5 내지 20 °의 각도 α를 가질 수도 있다. 반사기들의 길이 (1025) 는 기판 상으로의 어퍼처 홀들을 통한 시선 투사 (line-of-sight projection) 로부터 어퍼처들을 폐쇄하도록 충분히 길 수도 있다. 따라서, 길이 (1025) 는 α의 사인으로 나눠진 전극 (1013) 내의 어퍼처들의 직경보다 크거나 같을 수도 있다. 인접한 반사기들 간의 간격은 인접한 어퍼처들 간의 간격과 같을 수도 있다. 반사기들은 이온 궤도들을 균일하게 변경하도록 서로 평행하게 위치된다. 반사기들 (1020) 은 이온들/입자들이 기판 프로세싱 영역 (1015) 에 들어가고, 반사기 (1020) 를 떠나는 입자들이 곧장 아래로 이동하지 않기 때문에, 이온들/입자들의 궤도를 변경한다. 입자들이 법선 각도 (즉, 90 °) 로 웨이퍼 (1001) 에 충격을 주는 것이 목표된다면, 웨이퍼 (1001) 는 기울어진 입자들의 궤도를 수용하도록 틸팅 (tilt) 될 수도 있다. 틸팅은 기판 지지부 페데스탈 (1003) 을 제어함으로써 행해질 수도 있다. 일부 경우들에서, 웨이퍼는 필요에 따라 이온들/입자들을 지향시키도록 에칭 동안 다양한 각도로 틸팅되거나 틸팅되지 않을 수도 있다. 다른 경우들에서 전극 어셈블리는 기판에 대해 틸팅될 수도 있다. 틸팅은, 예를 들어 피처 측벽들에서 양호한 에칭 결과들을 달성하는 것을 도울 수도 있다. 이러한 틸팅은 반사기 (1020) 가 사용되는지 여부와 무관하게 발생할 수도 있다.
도 10의 다른 특징들은 도 1에 도시된 것들과 유사하다. 예를 들어, 플라즈마는 ICP 플라즈마 소스 (1007) 를 통해 생성된다. 플라즈마는 1차 플라즈마 생성 영역 (1005) 내에서 생성된다.
일부 경우들에서 반사기들은 미리 형성될 수도 있고 전극 어셈블리에 부착될 수도 있다. 반사기 형성 프로세스는 목표된 형상들로 실리콘 또는 금속 조각들을 성형하는 단계를 수반할 수도 있다. 대안적으로 또는 부가적으로, 반사기 형성 프로세스는 재료의 플레이트 내에 어퍼처들의 생성을 수반할 수도 있다. 어퍼처들은 레이저 커팅을 통해 또는 이하에 기술된 전해질 욕/금속 볼 프로세스를 통해 형성될 수도 있다.
특정한 실시예에서, 반사기들은 전극 어셈블리 내의 어퍼처들의 형성과 동시에 또는 직후에 형성될 수도 있다. 일부 경우들에서 금속 볼들 (예를 들어, 몰리브덴 볼 또는 골드 볼) 이 어퍼처들을 형성하기 위해 사용될 수도 있다. 반사기 전구체 층이 하부 전극 아래에 제공될 수도 있다. 일부 경우들에서 반사기 전구체 층은, 하부 전극에 정전 접합될 수도 있는, 실리콘 (예를 들어, 축퇴 도핑된 실리콘) 이다. 반사기 전구체 층은 어떠한 어퍼처들 또는 다른 경로들이 없는, 재료의 고체 층일 수도 있다. 일단 전체 전극 어셈블리가 부착된 반사기 전구체 층과 어셈블되면, 전극 어셈블리는 전해질 욕 내에 배치될 수도 있다. 전극들은 그 내부에 이미 드릴링된 어퍼처들을 가질 수도 있고, 또는 전극 어퍼처들이 전해질 욕 내에서 형성될 수도 있다. 욕 용액은, 예를 들어 KOH, KOH+IPA, 에틸렌디아민, 에틸렌디아민 + 피로카테콜, 하이드라진, 하이드로플루오르산, H2O2, 또는 이들의 조합을 포함할 수도 있다. 금속 볼들은 어퍼처들이 목표되는 어셈블리 상에 배치될 수도 있다. 일부 경우들에서 최상위 전극 (예를 들어, 중공 캐소드 이미터 또는 추출 전극) 은 어퍼처들이 목표되는 위치에 금속 볼들을 홀딩/고정시키도록 설계된 사전 드릴링된 디보트들 또는 홀들을 포함한다. 일 실시예에서, 금속 볼들은 실리콘과 촉매 반응하도록 선택된다. 예를 들어, 실리콘은 실버 (silver) 의 존재시 HF+H2O2+H2O 용액에서 에칭된다. 전극 스택을 에천트 용액 내에 침지시키는 동안 실버 볼들을 표면 상에 배치하는 것은 실버 볼들이 실리콘 표면과 접촉하는 실리콘만을 에칭할 것이다. 다른 공지의 금속 촉매들은 골드 및 플레티넘을 포함한다. 이어서 금속 볼들로 하여금 전극 어셈블리를 관통하도록 (cut through) 전기장이 인가될 수도 있다. 어퍼처들은 그룹들/파형들에서 개별적으로 (예를 들어, 한번에 하나의 금속 볼을 사용하여) 또는 전부 한번에 형성될 수도 있다.
곧바로 지구의 중심을 향하는 방향으로 전극 어셈블리 재료를 관통하는 금속 볼들을 사용하는 이 프로세스에서 중력이 역할을 할 수도 있다. 금속 볼들이 하부 전극과 반사기 전구체 층 사이의 계면에 이를 때, 전체 전극 어셈블리가 틸팅될 수도 있다. 틸팅은 또한 계면에 이르기 조금 전 또는 후 (예를 들어, 볼들이 재료의 거의 절반 이상을 통과할 때) 에 발생할 수도 있다. 금속 볼들은 계속해서 아래 방향으로 떨어져서, 반사기 전구체 층 내로 매우 곧은 어퍼처들을 커팅한다. 반사기 전구체 층 내의 어퍼처들은 전극들 내의 어퍼처들과 정렬된다. 그러나, 하부 전극과 반사기 층 사이의 계면 (또는 근방) 에 생성된 각도로 인해 전극들 및 반사기 층을 통한 직접적인 시선이 없다.
어퍼처들을 생성하기 위해 사용된 금속 볼들은 약 1 ㎜ 내지 5 ㎝의 직경을 가질 수도 있다. 일부 경우들에서, 어퍼처들을 생성하기 위해 다단계 프로세스가 사용된다. 제 1 단계는 상기에 논의된 바와 같이 (동시에 형성되는 반사기를 갖거나 갖지 않고) 작은 어퍼처들을 생성하는 것을 수반할 수도 있다. 제 2 단계는, 예를 들어 레이저 드릴링, 다이아몬드 비트 드릴링, 또는 다른 머시닝 방법들에 의해, 제 1 단계에서 생성된 어퍼처들을 확대시키는 것을 수반할 수도 있다. 제 2 단계는 전극 어셈블리의 어느 하나의 측면으로부터 (예를 들어, 상단부 및/또는 하단부로부터) 수행될 수도 있다. 하부 전극과 반사기 층 (존재한다면) 사이의 게면에서 재료를 지나치게 제거하지 않는다는 것을 보장하기 위한 조치가 취해져야 한다.
언급된 바와 같이, 금속 볼 어퍼처 형성 프로세스들은 또한 전극 어셈블리가 어떠한 반사기도 포함하지 않을 때 사용될 수도 있다. 또한, 금속 볼 어퍼처 형성 프로세스는 아직 전극 어셈블리에 부착되지 않은 반사기 내에 어퍼처들을 형성하기 위해 사용될 수도 있다.
챔버 라이너 (Chamber Liner)
특정한 에칭 동작들에서 발생하는 한가지 문제는 에칭 동안 기판 상의 원치 않는 입자들의 증착이다. 입자들은, 예를 들어 플라즈마로의 노출로 인해, 내부 반응 챔버 표면들을 스퍼터링 제거할 수도 있다. 이어서 입자들은 디펙트들을 유발할 수 있는 기판의 표면 상에 떨어질 수도 있다.
이 문제를 해결하기 위해, 본 명세서에 기술된 임의의 실시예들은 스퍼터-저항성 챔버 라이너를 포함하도록 수정될 수도 있다. 챔버 라이너는 스퍼터링 및 따라서 에칭 동안 기판 상의 원치 않는 입자들의 증착을 최소화하는 것을 돕는다. 챔버 라이너는 특정한 경우들에서 제거가능할 수도 있다.
챔버 라이너로 사용된 재료는 반응 챔버 내에서 사용된 통상적인 반응 조건들 하에서 스퍼터링에 저항성이어야 한다. 챔버 라이너는 사용된 이온 및 이온 에너지에 대해 ≤ 0.2의 스퍼터링 수율을 가질 수도 있다. 예시적인 재료들은 탄소, 실리콘, 티타늄, 몰리브덴, 텅스텐, 및 탄탈룸을 포함한다.
라이너는 세정 또는 교체를 위해 쉽게 제거되도록 구성될 수도 있다.
라이너는 챔버 벽들 및 플로어를 커버할 수도 있다. 일부 경우들에서, 라이너는 특정한 표면들을 노출된 채로 남기도록 설계된다. 이들 노출되지 않은 표면들은 기판, 전극들, 뷰포트들 (viewport), 검출기 윈도우들, 인-시츄 검출기들, 전하 중성화 헤드들, 등을 포함할 수도 있다. 라이너는 다양한 내부 반응 챔버 표면들의 윤곽을 따르도록 설계될 수도 있다. 특정한 경우들에서, 챔버 라이너는 약 1 ㎜ 내지 3 ㎝, 예를 들어 약 2 ㎜ 내지 2 ㎝의 두께를 가질 수도 있다.
에칭 동안 기판 회전
다양한 실시예들에서, 에칭 동안 웨이퍼를 회전 및/또는 틸팅하는 것이 유리할 수도 있다. 웨이퍼 회전은 기판의 면 위에서 에칭 결과들을 평균하는 것을 도울 수 있어, 웨이퍼 내 균일성을 촉진한다. 웨이퍼 회전은 그 상부에 기판이 위치되는 지지부를 회전시킴으로써 달성될 수도 있다. 이 지지부는 때때로 페데스탈, 척, 정전 척, 기판 고정장치 (substrate fixture), 등으로 지칭된다. 에칭 동안 웨이퍼를 틸팅하는 것은 에칭 프로파일을 제어하는데, 특히 에칭된 피처들의 측벽들에서 유리할 수 있다. 틸팅은 기판 지지부를 틸팅함으로써 유사하게 성취된다. 일부 경우들에서, 틸팅은 이온들/입자들이 법선 각도로부터 약 25 ° 이하 (예를 들어, 약 5 ° 이하) 인 각도로 기판에 충격을 주도록 행해진다. 다른 경우들에서, 틸팅은 45 ° 이하일 수도 있다. 다른 경우들에서, 틸팅은 보다 광범위할 수도 있고, 예를 들어 기판 법선 각도로부터 약 85 ° 이하일 수도 있다.
기판 지지부는 통상적으로 다양한 전기적 연결부 및 유체적 연결부를 포함한다. 이들 연결부들은 기판 지지부로 전력, 냉각 유체들, 등을 제공할 수도 있다. 전력 및 냉각 유체들은 (기판 지지부로부터 제거되는 위치와 같은) 반응 챔버 내의 위치로부터, 또는 반응 챔버 외부의 위치로부터 유래될 (originate) 수도 있다. 전기적 연결부 및 유체적 연결부는 기판 회전 및 틸팅을 보다 어렵게 할 수도 있다. 예를 들어, 기판 지지부에 연결된 배선은, 기판이 에칭 프로세스 동안 회전함에 따라 기판 지지부 둘레 (예를 들어, 일부 경우들에서 지지부의 스템 둘레 또는 내부) 에 랩핑될 (wrap) 수도 있다. 이 랩 어라운드 (wraparound) 는 기판의 추가 회전을 신속하게 방지할 수 있다. 특정한 경우들에서 특수화된 시일부들이 연결부들로 하여금 기판 지지부와 함께 회전하게 하도록 제공될 수도 있다. 이들 시일부들은 연결부들을 수용하고 연결부들이 기판 지지부 둘레에 엉키는 것을 방지한다. 그러나, 이들 시일부들은 (때때로 회전하는 진공 시일부들로 지칭됨) 누설되기 쉽고, 반응 챔버 내를 목표된 저압으로 유지하는 것을 어렵게 한다. 시일부들은 누설 문제들을 해결하기 위해 규칙적인 유지보수를 필요로 한다. 이를테면, 기판 지지부로의 전기적 연결부 및 유체적 연결부를 수용하는, 에칭 동안 기판을 회전시키는 개선된 방법이 필요하다.
특정한 구현예들에서, 기판 회전은 다단계 순환적 프로세스에서 성취될 수도 있다. 프로세스의 제 1 단계는 기판을 제 1 방향 (예를 들어, 시계 방향) 으로 회전시키는 것을 수반하고, 제 2 단계는 기판을 제 1 방향에 반대되는, 제 2 방향 (예를 들어, 반시계 방향) 으로 회전시키는 것을 수반한다. 이들 2 단계들을 반복하고 기판을 각각의 방향으로 규칙적으로 회전시킴으로써, 전기적 연결부 및 유체적 연결부는 관리할 수 있는 정도로 기판 지지부 둘레에 감기거나 풀리거나 할 수도 있다. 적절하고 타당한 양의 슬랙 (slack) 이 연결부 각각의 형성 시 기판 지지부에 제공될 수도 있다. 예를 들어, 전기적 연결부 및 유체적 연결부에 어느 한 방향으로 특정한 양으로 기판이 회전하도록 허용하기에 충분한 슬랙이 제공될 수도 있다.
본 명세서에 사용된 바와 같이, 기판 지지부의 회전 구성은 중앙 측정 지점으로부터 측정되고 회전 방향에 따라 포지티브 값 및 네거티브 값 (시계 방향 회전이 포지티브임) 모두로 나타낸다. 중앙 측정 지점으로부터 ±n ° 회전하도록 구성된 기판 지지부는 어느 하나의 방향 전체에서 2n ° 회전한다. 회전이 중앙 측정 지점으로부터 측정된다는 사실 및 기판이 이 측정 지점으로부터 어느 하나의 방향으로 회전될 수 있다는 사실로 인해 2 의 인자가 도입된다. 예를 들어, ±180 ° 회전할 수 있는 기판 지지부는 모션의 전체 범위 (즉, 일 회전 극단으로부터 다른 극단까지) 를 고려할 때 어느 하나의 방향으로 실제로 한바퀴 (full turn) (360 °) 회전할 수 있다. 이하의 회전 패턴은 회전 능력이 어떻게 측정되고 본 명세서에서 기술되는지를 보다 명료하게 하도록 제공된다. 이 예에서, 기판은 시작 위치에서 시작하여 +180 ° 시계 방향, 이어서, -360 ° 반시계 방향, 이어서 +360 ° 시계 방향, 이어서 -360 ° 반시계 방향, 등으로 회전한다. 360 ° 회전 각각에서, 처음 180 °는 이전의 회전을 효과적으로 되돌리고 (undo), 두번째 180 °는 기판을 새로운 방향으로 계속해서 회전시킨다. 이 예에서, 기판의 시작 위치는 0 ° 회전에 대응한다. 물론, 기판은 또한 다른 위치들로부터 프로세스를 시작할 수도 있다. 일 예에서, 기판은 -180 ° 회전에 대응하는 시작 위치에서 시작하고, 이어서 +360 ° 시계 방향, -360 ° 반시계 방향, +360 ° 시계 방향, 등으로 회전한다. 이 예에서, 한바퀴 만이 사용되었다. 이 예 및 이전의 예 둘 다는 ±180 ° 회전하도록 구성된 기판 지지부들에 대응한다.
특정한 실시예들에서, 기판 지지부는 약 ±180 ° 및 ±215 °회전하도록 구성되거나 설계된다. 다른 경우에서, 기판 지지부는 약 ±180 ° 회전하도록 구성되거나 설계될 수도 있다. 회전의 각도 크기는 기판 지지부에 연결된 전기적 라인 및 유체적 라인들을 손상시키지 않고 복수의 방향의 이온 충격이 가능하도록 선택될 수도 있다. 회전의 각도 크기는 길이 및 사용된 전기적 연결부 및 유체적 연결부의 유연성에 기초하여 선택될 수도 있다. 보다 길고 보다 유연한 연결부들은 보다 광범위한 회전들을 허용한다. 보다 광범위한 회전들이 사용되면, 이하에 기술된 바와 같이 추가의 연결부 길이가 조정될 수도 있다.
이들 각도 범위들이 언급된 바와 같이 회전하도록 설계되거나 구성된 기판 지지부에 대하여 개시되지만, 당업자는 다양한 다른 컴포넌트들 (예를 들어, 전기적 연결부 및 유체적 연결부, 기판 지지부를 회전시키기 위해 사용된 모터, 등) 이 기판 지지부 구성의 일부라는 것을 이해한다. 즉, 기판 지지부, 기판 지지부로의 전기적 연결부 및 유체적 연결부는 상기 개시된 회전들을 허용하도록 함께 설계되거나 구성될 수도 있다. 제어기는 기판 지지부 및 기판의 회전을 제어하도록 사용될 수도 있다. 제어기는 본 명세서에 개시된 임의의 각도 범위들 또는 패턴들에 따라 기판을 회전시키기 위한 인스트럭션들을 가질 수도 있다.
회전 각도를 제어하기 위해, 인덱싱 시스템이 사용될 수도 있다. 이러한 시스템은 기판 상에 상이한 각도 위치들 (예를 들어, 0 °, 1 °, ... 359 °) 을 지정/규정할 수도 있고, 이들 위치들에 따라 기판의 회전을 추적할 수도 있다. 일부 경우들에서 회전은 광학적 수단을 통해 추적될 수도 있다. 예를 들어, 기판 홀더는 기판이 회전함에 따라 광학 시스템에 의해 추적될 수 있는 복수의 마크들 (예를 들어, 각각 1 °씩 분리된, 360 개의 마크들, 그러나 임의의 적합한 수의 마크들이 사용될 수도 있다) 을 가질 수도 있다. 일부 경우들에서 광학적 인코더가 사용될 수도 있다. 다른 경우들에서, 기판을 회전시키기 위해 스텝퍼 모터가 사용된다. 스텝퍼 모터는 본 명세서에 기술된 바와 같이 기판을 회전시킬 수도 있고, 시간에 따라 기판의 회전 각도를 조심스럽게 제어한다. 스텝퍼 모터는 전체 (full) 회전을 다수의 동일한 단계들 (예를 들어, 각각 1 ° 이하로 분리된, 360 개의 단계들, 그러나 임의의 적절한 수의 단계들이 사용될 수도 있다) 로 분할할 수도 있다. 모터의 위치 및 따라서 기판 홀더 및 기판의 각도 위치는 어떠한 피드백 센서들도 필요로 하지 않고 지정된 단계들 사이에서 이동하도록 제어될 수 있다. 각도/회전 위치로 하여금 관련된 회전 속도들로 정확하게 추적되게 한다면, 다른 회전 인덱싱 시스템들이 또한 사용될 수도 있다. 각도 위치 추적은 MRAM, 및 STT-RAM과 같은 애플리케이션들에 특히 유리할 수도 있다. 예를 들어, MRAM 및 STT-RAM 경우들에서, 자기 모멘트에서 축 의존도가 있다. 이러한 의존도는 각도 제어를 매우 유리하게 한다. 3D 디바이스들과 같은 일부 경우들에서 축 의존도는 기판을 180 ° 단계들로, 또는 일부 경우들에서 90 ° 단계들로, 또는 다른 경우들에서 45 ° 단계들로, 그리고 다른 경우들에서 30 ° 단계들로 회전시키기 위해 유리할 수도 있다.
전기적 라인 및 유체적 라인은 다양한 위치들에서 기판 지지부와 연결될 수도 있다. 라인들이 기판 지지부의 중심에 보다 가깝게 접촉하면, 지지부의 회전을 수용하기 위해 라인들 내에서 보다 적은 슬랙이 필요하다. 일부 경우들에서, 전기적 라인 및 유체적 라인은 기판 지지부의 중앙 스템 내에서 또는 실질적으로 내에서 상향으로 통과한다. 이 구성은 에칭 동안 프로세싱 챔버 둘레를 이동하는 배선들 및 다른 연결부들을 갖는 것과 관련된 임의의 효과들을 최소화하는데 있어 유리할 수도 있다. 대신, 전기적 연결 라인 및 유체적 연결 라인의 이동은 기판 지지부의 스템의 내부 영역으로 제한된다. 연결 라인들은 이동들을 수용하도록 충분히 유연해야 한다.
특정한 경우들에서, 에칭 동안 기판의 단순한 앞뒤 (back-and-forth) 회전은 에칭된 피처들에 대해 불량한 에칭 프로파일을 발생시킨다. 불량한 프로파일은 이온 지향성 및 기판이 앞뒤로 회전함에 따라 피처들이 다소 불균일하게 충격을 받는다는 사실로부터 발생할 수도 있다. 이 문제는 특히 이온들/입자들이 비법선 각도로 기판에서 지향될 때와 관련된다. 예를 들어, 비법선 입사 이온 빔들이 +360 °, -360 ° 회전 패턴을 반복하는 맥락에서 사용되면, 피처의 제 1 측면은, 피처의 반대 측면이 비법선 이온 빔에 노출되기 전에, 2 개의 후속하는 부분적인 회전들 (즉, 회전 방향을 전환하기 직전의 시계 방향 회전 부분과 회전 방향을 전환한 직후 반시계 방향 회전 부분) 동안, 이온 빔의 방향으로부터 에칭될 수도 있다. 피처의 제 1 측면 상의 "이중 노출 (double exposure)"은 후속하는 회전들에서 발생하는 웨이퍼의 반대 측면 상의 "이중 노출"에 의해 정밀하게 균형이 잡히지 않을 수도 있다. 미스매칭은 예를 들어, (예를 들어, 에칭 및 에칭 부산물들의 재증착으로 인한) 피처 형상 변화를 포함하는 다양한 이유들로 발생할 수도 있다. 수직 형상들에 대해 발생된 에칭 프로파일들은 보잉되거나 일부 경우들에서 "C" 형상일 수도 있다.
이들 에칭 프로파일 문제들을 해결하기 위해, 회전 레이트는 에칭 동안 변할 수도 있다. 일 예에서, 기판은 일 방향 (예를 들어, 시계 방향) 으로 느리게 회전하고 반대 방향 (예를 들어, 반시계 방향) 으로 빠르게 회전한다. 예시적인 회전 패턴은: +360 ° (느린 시계 방향), -360 ° (빠른 반시계 방향), +360 ° (느린 시계 방향), -360 ° (빠른 반시계 방향), 등일 수도 있다. 또 다른 예에서, 기판은 회전의 초기 부분에서 일 방향으로 느리게 회전하고, 회전의 최종 부분에서 이 방향으로 빠르게 회전한다 (또는 그 반대). 예를 들어, 기판은 다음의 회전 패턴: +180 ° (느린 시계 방향), -180 ° (빠른 반시계 방향), -180 ° (느린 시계 방향), +180 ° (빠른 반시계 방향), 등 (제 1 단계로부터 반복) 에 따라 회전할 수도 있다. 느린 회전 레이트들의 예들은 약 1 내지 10 RPM일 수도 있다. 빠른 회전 레이트들의 예들은 약 10 내지 500 RPM일 수도 있다. 빠른 회전 레이트는 적어도 약 5의 인자만큼 느린 회전 레이트보다 빠를 수도 있다.
다른 실시예들에서, 시스템은 에칭 프로세스의 특정한 부분들 동안, 예를 들어 기판이 특정한 방향으로 회전하는 기간들 동안 또는 개별 회전 각각의 특정한 부분들 (예를 들어, 제 1 1/2 또는 제 2 1/2) 동안 이온/입자 플럭스를 최소화하거나 정지하도록 설계되거나 구성될 수도 있다. 일 예시적인 회전 패턴은: (이온/입자 플럭스가 웨이퍼에 충격을 주는) +180 ° 시계 방향, (이온/입자 플럭스가 웨이퍼에 충격을 주지 않는) -180 ° 반시계 방향, (이온/입자 플럭스가 웨이퍼에 충격을 주는) -180 ° 반시계 방향, (이온/입자 플럭스가 웨이퍼에 충격을 주지 않는) +180 ° 시계 방향, 등 (제 1 단계로부터 반복) 일 수도 있다. 셔터가 웨이퍼로 전달되는 플럭스를 제어하기 위해 사용될 수도 있다. 대안적으로 또는 부가적으로, 플라즈마는, 목표될 때 웨이퍼로 이온들/입자 플럭스를 제공하기 위해 필요에 따라 점화되고 소화될 수도 있다. 대안적으로 전극들 중 하나 이상으로의 전압은 이온들이 기판에 이르는 것을 차단하는 상이한 전압으로 신속하게 전환될 수도 있다.
시스템 제어기
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 성취하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비제한적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
개시된 실시예들을 수행하기 위해 사용된 장치는 종종 에칭 프로세스를 제어하도록 프로그래밍되는 시스템 제어기를 포함한다. 제어기는, 대용량 저장 디바이스에 저장되고, 메모리 디바이스로 로딩되고, 그리고 프로세서 상에서 실행될 수도 있는 시스템 제어 소프트웨어를 실행할 수도 있다. 일부 경우들에서 소프트웨어는 네트워크를 통해 이송될 수도 있다. 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들을의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 소프트웨어는 본 명세서에서 논의된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀스 인스트럭션들을 포함할 수도 있다. 시스템 제어기는 또한, 제어기와 연관된 대용량 저장 디바이스 또는 메모리 디바이스 상에 저장될 수도 있는, 다른 컴퓨터 소프트웨어 및/또는 프로그램들과 연관될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 플라즈마 가스 제어 프로그램, 반응 물질 가스 제어 프로그램, 압력 제어 프로그램, 온도 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판 지지부 상으로 기판을 로딩하고 언로딩하도록 사용된 프로세스 툴 컴포넌트들에 대한 코드를 포함할 수도 있다. 플라즈마 가스 제어 프로그램은 이온들이 추출될 플라즈마를 생성하도록 사용된 가스(들)의 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 반응 물질 가스 제어 프로그램은 조성, 플로우 레이트, 및 다른 반응 물질 가스들이 전달될 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 개별 반응 물질들이 전달될 압력, 반응 물질들이 제거될 압력, 및 기판 프로세싱 영역이 유지될 압력을 제어하기 위한 코드를 포함할 수도 있다. 온도 제어 프로그램은 기판, 기판 지지부, 및/또는 기판 프로세싱 영역을 특정한 온도로 유지하기 위해 사용된 가열 및/또는 냉각 장비를 제어하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 특정한 전력들 및 주파수들로 플라즈마를 생성하기 위한 코드를 포함할 수도 있다.
시스템 제어 소프트웨어는 본 명세서에 개시된 플로우 레이트들 및/또는 압력들로 반응 물질들을 전달하기 위한 인스트럭션들을 포함할 수도 있다. 이러한 인스트럭션들은 (이온들이 추출될) 플라즈마를 생성하도록 사용된 가스의 전달과 관련될 수도 있고 또는 개별적으로 제공된 (즉, 플라즈마를 생성하기 위해 사용되지 않은) 하나 이상의 가스들에 관련될 수도 있다.
시스템 제어 소프트웨어는 특정한 압력으로 기판 프로세싱 영역을 유지하기 위한 인스트럭션들을 더 포함할 수도 있다. 시스템 제어 소프트웨어는 또한 통상적으로 에칭 프로세스의 타이밍을 제어하기 위한 인스트럭션들을 포함한다. 많은 경우들에서, 제어기는 또한 전극들 각각에 인가된 바이어스를 제어한다. 이와 같이, 시스템 제어 소프트웨어는 추출 전극으로의 제 1 바이어스, 포커스 전극으로의 제 2 바이어스, 하부 전극 및 기판/기판 지지부로의 제 3 바이어스 (또는 접지 상태들), 및 중공 캐소드 이미터 전극으로의 제 4 바이어스를 인가하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 인스트럭션들은 가열 또는 냉각을 통해 기판 및/또는 기판 프로세싱 영역을 특정한 온도로 유지하는 것을 더 포함한다.
이온 플럭스를 조절하기 위해 셔터가 사용되면, 시스템 제어 소프트웨어는 원하는 시간들 (예를 들어, 상기 기술된 바와 같이 회전 패턴의 특정한 부분들 동안) 에 셔터를 개방하고 폐쇄함으로써 이온들을 조절하기 위한 인스트럭션들을 포함할 수도 있다. 특정한 실시예에서, 소프트웨어는 기판이 특정한 방향으로 또는 특정한 속도로 회전할 때에만 셔터들을 개방 (따라서 이온들이 웨이퍼 표면에 충돌하게 함) 하기 위한 인스트럭션들을 포함한다.
플라즈마 생성에 대하여, 시스템 제어 소프트웨어는 특정한 플로우 레이트, 온도, 및/또는 압력으로 플라즈마 생성 가스를 제공하기 위한 인스트럭션들을 포함할 수도 있다. 인스트럭션들은 또한 플라즈마를 생성하기 위해 사용된 전력량 (예를 들어, RF 전력), 및 이러한 전력이 전달될 주파수와 관련될 수도 있다.
일부 실시예들에서, 시스템 제어기와 연관된 사용자 인터페이스가 있을 수도 있고, 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
많은 실시예들에서, 시스템 제어기는 다른 프로세스 파라미터들을 조정하도록 사용된다. 이러한 파라미터들은 이로 제한되는 것은 아니지만, 반응 물질 가스 조성들, 플로우 레이트들, 및 압력들, 플라즈마 생성 가스 조성, 플로우 레이트들, 및 압력들, 기판 프로세싱 영역의 압력, 개별 전극들에 인가된 바이어스, 온도, 플라즈마 조건들 (예를 들어, 주파수 및 전력), 웨이퍼의 위치, 등을 포함할 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 제어기의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
상기 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다.
막의 리소그래픽 패터닝은 통상적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 예를 들어, 그 위에 형성된 실리콘 나이트라이드막을 갖는 기판에 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다. 일부 실시예들에서, (비정질 탄소층과 같은) AHM (ashable hard mask layer) 및 다른 적합한 (반사 방지층과 같은) 하드마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 접근 방법들은 본질적으로 예시적이고, 이들 구체적인 실시예들 또는 예들은 다양한 변형들이 가능하기 때문에 제한하는 방식으로 고려되지 않는다는 것이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 하나 이상의 임의의 수의 프로세싱 전략들을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 순서로, 다른 순서로, 병행하여, 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시의 주제는 본 명세서에 개시된 다양한 프로세스들, 시스템들 및 구성들, 및 다른 특징들, 기능들, 작용들, 및/또는 특성들의 모든 신규하고 명백하지 않은 조합들, 및 하위 조합들뿐만 아니라 임의의 모든 이들의 등가물들을 포함한다.

Claims (24)

  1. 이온 빔 에칭 반응기에서 사용하기 위한 전극 어셈블리를 형성하는 방법으로서,
    제 1 전극, 제 2 전극 및 제 3 전극을 제공하는 단계;
    상기 제 1 전극과 상기 제 2 전극 사이에서 고정화하도록 (immobilize) 제 1 전극 간 구조체를 제공하고 고정시키고, 상기 제 2 전극과 상기 제 3 전극 사이에서 고정화하도록 제 2 전극 간 구조체를 제공하고 고정시키는 단계로서, 상기 제 1 전극, 상기 제 2 전극, 상기 제 3 전극, 상기 제 1 전극 간 구조체, 및 상기 제 2 전극 간 구조체는 상기 전극 어셈블리를 형성하도록 실질적으로 서로 수직으로 정렬되는, 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체를 제공하고 고정시키는 단계; 및
    상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체가 상기 전극 어셈블리 내에서 고정화되는 동안 상기 제 1 전극, 상기 제 2 전극 및 상기 제 3 전극 내에 복수의 어퍼처들을 형성하는 단계를 포함하는, 전극 어셈블리를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 전극들 중 하나 이상은 축퇴 (縮退) 도핑된 실리콘을 포함하고,
    상기 제 1 전극 간 구조체를 고정시키는 단계는 상기 제 1 전극 간 구조체를 상기 제 1 전극 및/또는 상기 제 2 전극에 부착시키는 단계를 포함하고,
    상기 제 2 전극 간 구조체를 고정시키는 단계는 상기 제 2 전극 간 구조체를 상기 제 2 전극 및/또는 상기 제 3 전극에 부착시키는 단계를 포함하는, 전극 어셈블리를 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 전극 간 전극 구조체들 중 하나 이상을 고정시키는 단계는 상기 전극 간 구조체들 중 하나 이상을 상기 전극들 중 적어도 하나에 정전기적으로 접합시키는 단계를 포함하는, 전극 어셈블리를 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 전극 간 전극 구조체들 중 하나 이상을 고정시키는 단계는 상기 전극 간 전극 구조체들 중 하나 이상을 상기 전극들 중 하나 이상에 고정시키도록 접착제 또는 유리 프릿 (glass frit) 을 제공하는 단계를 포함하는, 전극 어셈블리를 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체를 고정시키는 단계는 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체를 직접적으로 또는 간접적으로 고정시키고, 적어도 상기 제 1 전극과 상기 제 3 전극을 직접적으로 고정시키는 브라켓들 또는 클램프들을 제공하는 단계를 포함하는, 전극 어셈블리를 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 전극, 상기 제 2 전극 및 상기 제 3 전극 내에 어퍼처들을 형성하는 단계는, 제 1 전극 간 재료 및 제 2 전극 간 재료 내에 어퍼처들을 형성하는 단계를 더 포함하고,
    상기 어퍼처들을 형성한 후에, 적어도 제 1 전극 간 층의 일부 및 제 2 전극 간 층의 일부를 에칭하고 제거하도록 상기 전극 어셈블리를 에칭 용액에 침지시키는 단계를 더 포함하는, 전극 어셈블리를 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 전극 어셈블리를 에칭 용액에 침지시키는 단계는 상기 제 1 전극 및 상기 제 2 전극과 접촉하거나, 상기 제 2 전극 및 상기 제 3 전극과 접촉하는 지지 구조체들의 형성을 발생시키고, 상기 지지 구조체들은 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체로부터 형성되는, 전극 어셈블리를 형성하는 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 및 상기 제 1 전극 간 구조체 및 상기 제 2 전극 간 구조체는 서로 약 50 % 이하만큼 상이한 열 팽창 계수 (CTE: coefficients of thermal expansion) 를 갖는, 전극 어셈블리를 형성하는 방법.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 어퍼처들을 형성하는 단계는 레이저로 상기 어퍼처들을 드릴링 (drilling) 하는 단계를 포함하는, 전극 어셈블리를 형성하는 방법.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 3 전극 내의 상기 어퍼처들은 상기 제 2 전극 내의 상기 어퍼처들의 직경보다 약 0 내지 30 % 더 큰 직경을 갖고, 그리고
    상기 제 2 전극 내의 상기 어퍼처들의 직경은 상기 제 1 전극 내의 상기 어퍼처들의 직경보다 약 0 내지 30 % 더 큰 직경을 갖는, 전극 어셈블리를 형성하는 방법.
  11. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 전극들 중 하나 이상은 약 10 ° 이하의 각도로 테이퍼된 어퍼처들을 갖는, 전극 어셈블리를 형성하는 방법.
  12. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 3 전극에 반사기를 부착하는 단계를 더 포함하고,
    상기 반사기는 상기 전극 어셈블리를 통한 직접적인 시선 (line-of-sight) 을 차단하는, 전극 어셈블리를 형성하는 방법.
  13. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 전극 간 구조체 내 및/또는 제 2 전극 간 구조체 내에 가스 경로들을 형성하는 단계를 더 포함하고,
    상기 가스 경로들은 가스로 하여금 상기 전극 어셈블리의 내부 영역으로부터 외부로 새어 나가게 (escape) 하는, 전극 어셈블리를 형성하는 방법.
  14. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 어퍼처들을 형성하기 전 또는 형성한 후에, 제 4 전극을 상기 전극 어셈블리에 제공하는 단계를 더 포함하고,
    상기 제 4 전극은 상기 제 1 전극 위에 제공되고, 상기 제 4 전극은 복수의 중공 캐소드 이미터들을 포함하는 중공 캐소드 이미터 전극을 형성하는, 전극 어셈블리를 형성하는 방법.
  15. 제 14 항에 있어서,
    상기 중공 캐소드 이미터 전극은 상부 표면 및 하부 표면을 포함하고, 상기 하부 표면은 상기 제 1 전극과 마주 보고, 그리고
    상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내에 상기 어퍼처들을 형성하기 전, 또는 형성하는 동안, 또는 형성한 후에, 중공 캐소드 이미터 전극 내에 복수의 홀들을 형성하는 단계를 더 포함하고,
    홀 각각은 상기 상부 표면에서 보다 크고 상기 하부 표면을 향해 보다 작은 직경을 갖고,
    상기 홀들은 상기 어퍼처들이 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내에 형성된 후에 상기 어퍼처들의 위치와 정렬되는, 전극 어셈블리를 형성하는 방법.
  16. 제 14 항에 있어서,
    상기 중공 캐소드 이미터 전극 내의 상기 홀들은 하부 실린더부 및 상부 가변 직경부를 포함하는, 전극 어셈블리를 형성하는 방법.
  17. 제 16 항에 있어서,
    상기 상부 가변 직경부는 깔때기 형상 (funnel shape) 을 포함하는, 전극 어셈블리를 형성하는 방법.
  18. 반도체 기판을 에칭하는 방법으로서,
    기판 지지부 상에 기판을 위치시키는 단계로서, 상기 기판 지지부에 커플링된 회전 메커니즘은 상기 기판을 약 2 ° 이상의 정확도로 회전시키도록 구성되는, 상기 기판을 위치시키는 단계;
    제 1 바이어스를 제 1 전극에 인가하고 제 2 바이어스를 제 2 전극에 인가하고, 상기 제 1 전극 및 상기 제 2 전극은 그 내부에 어퍼처들을 포함하고, 상기 제 1 전극 및 상기 제 2 전극 위에 플라즈마를 공급하는 단계로서, 상기 제 1 전극 및 상기 제 2 전극 내의 상기 어퍼처들을 통해 상기 기판의 표면을 향해 이온들이 통과하는, 상기 바이어스를 인가하고 플라즈마를 공급하는 단계;
    상기 플라즈마를 공급하는 단계 동안, 상기 기판 및 상기 기판 지지부를 제 1 방향 및 상기 제 1 방향에 반대되는 제 2 방향으로 주기적으로 회전시키는 단계; 및
    상기 기판이 회전하는 동안, 상기 기판의 상기 표면에 이온들 또는 입자들이 충돌한 결과로서 상기 기판을 에칭하는 단계를 포함하는, 반도체 기판을 에칭하는 방법.
  19. 제 18 항에 있어서,
    상기 기판 홀더는 약 ± 215 ° 이하로 회전하도록 구성되는, 반도체 기판을 에칭하는 방법.
  20. 반도체 기판을 에칭하기 위한 장치로서,
    반응 챔버;
    기판 지지부;
    하나 이상의 가스들 또는 플라즈마를 상기 반응 챔버에 공급하기 위한 유입부;
    제 1 전극, 제 2 전극, 및 제 3 전극으로서, 각각 그 내부에 복수의 어퍼처들을 갖고, 상기 제 2 전극은 상기 제 1 전극 아래에 위치되고, 그리고 상기 제 3 전극은 상기 제 2 전극 아래에 위치되는, 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극;
    복수의 중공 캐소드 이미터들을 포함하는 중공 캐소드 이미터 전극으로서, 상기 중공 캐소드 이미터들은 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내의 상기 어퍼처들과 정렬되고, 그리고 상기 중공 캐소드 이미터 전극은 상기 제 1 전극 위에 위치되는, 상기 중공 캐소드 이미터 전극; 및
    (i) 상기 중공 캐소드 이미터 전극 위에 플라즈마를 생성하는 단계, (ii) 상기 중공 캐소드 이미터 전극에 바이어스를 인가하는 단계, (iii) 상기 제 1 전극에 바이어스를 인가하는 단계, 및/또는 (iv) 상기 제 2 전극에 바이어스를 인가하는 단계 중 하나 이상을 수행하도록 구성된 하나 이상의 RF 소스들을 포함하는, 반도체 기판을 에칭하기 위한 장치.
  21. 제 20 항에 있어서,
    상기 기판 지지부를 약 2 ° 이상의 정확도로 회전시키고 틸팅 (tilt) 하도록 구성된 회전 메커니즘을 더 포함하는, 반도체 기판을 에칭하기 위한 장치.
  22. 제 20 항에 있어서,
    상기 제 3 전극 아래 및 상기 기판 지지부 위에 위치된 반사기를 더 포함하고,
    상기 반사기는 에칭 동안, 상기 제 1 전극, 상기 제 2 전극, 및 상기 제 3 전극 내의 상기 어퍼처들을 통과하는 이온들을 중성화하도록 동작가능한, 반도체 기판을 에칭하기 위한 장치.
  23. 제 20 항 내지 제 22 항 중 어느 한 항에 있어서,
    상기 중공 캐소드 이미터 전극의 상기 중공 캐소드 이미터들을 통한 총 가스 전도도 (conductance) 는 약 10,000 L/min 이하인, 반도체 기판을 에칭하기 위한 장치.
  24. 제 23 항에 있어서,
    고 가스 플로우 시간 동안 또는 펌프 다운 동안 상기 전극 어셈블리에 걸친 과잉 압력 차의 형성을 방지하는 가스 바이패스 경로를 더 포함하는, 반도체 기판을 에칭하기 위한 장치.
KR1020150121480A 2014-08-29 2015-08-28 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리 KR102514467B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230037281A KR102660958B1 (ko) 2014-08-29 2023-03-22 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/473,863 US9406535B2 (en) 2014-08-29 2014-08-29 Ion injector and lens system for ion beam milling
US14/473,863 2014-08-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230037281A Division KR102660958B1 (ko) 2014-08-29 2023-03-22 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리

Publications (2)

Publication Number Publication Date
KR20160026770A true KR20160026770A (ko) 2016-03-09
KR102514467B1 KR102514467B1 (ko) 2023-03-24

Family

ID=55403332

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150121480A KR102514467B1 (ko) 2014-08-29 2015-08-28 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
KR1020230037281A KR102660958B1 (ko) 2014-08-29 2023-03-22 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
KR1020240053530A KR20240058818A (ko) 2014-08-29 2024-04-22 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020230037281A KR102660958B1 (ko) 2014-08-29 2023-03-22 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
KR1020240053530A KR20240058818A (ko) 2014-08-29 2024-04-22 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리

Country Status (4)

Country Link
US (3) US9406535B2 (ko)
KR (3) KR102514467B1 (ko)
CN (3) CN107768222B (ko)
TW (1) TWI686886B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109698110A (zh) * 2017-10-23 2019-04-30 三星电子株式会社 中空阴极以及用于制造半导体器件的装置和方法
KR20190044889A (ko) * 2017-10-23 2019-05-02 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20190044891A (ko) * 2017-10-23 2019-05-02 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US20210375588A1 (en) * 2018-12-06 2021-12-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
WO2023191324A1 (ko) * 2022-03-29 2023-10-05 주식회사 인포비온 대형 리니어 플라즈마 소스, 이를 이용한 대형 리니어 하전입자빔 소스 및 대형 리니어 하전입자빔 소스용 그리드

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10041747B2 (en) * 2010-09-22 2018-08-07 Raytheon Company Heat exchanger with a glass body
FR2976400B1 (fr) * 2011-06-09 2013-12-20 Ion Beam Services Machine d'implantation ionique en mode immersion plasma pour procede basse pression.
KR101585889B1 (ko) * 2014-02-27 2016-02-02 한국과학기술원 고효율 할로우 음극과 이를 적용한 음극 시스템
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
EP3468309B1 (en) * 2016-05-27 2020-10-21 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation device
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10381231B2 (en) 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US9934933B1 (en) * 2017-01-19 2018-04-03 Kla-Tencor Corporation Extractor electrode for electron source
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
US10193066B2 (en) 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US20190131585A1 (en) * 2017-11-01 2019-05-02 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Apparatus of pecvd and manufacturing method of oled panel
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
CN110551987A (zh) * 2018-06-04 2019-12-10 至玥腾风科技投资集团有限公司 环形单晶无机非金属部件的制作方法、设备及飞轮
CN109087840B (zh) * 2018-09-27 2023-11-07 中山市博顿光电科技有限公司 一种水冷式射频中和器
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
TWI729629B (zh) * 2018-12-17 2021-06-01 美商應用材料股份有限公司 蝕刻裝置
WO2020178064A1 (en) 2019-03-04 2020-09-10 Agc Glass Europe Fractioning device
CN111863576B (zh) * 2019-04-25 2023-10-20 上海凯世通半导体股份有限公司 离子束能量控制装置
US11440151B2 (en) 2019-06-07 2022-09-13 Applied Materials Israel Ltd. Milling a multi-layered object
USD918836S1 (en) * 2019-06-17 2021-05-11 Sang Hoon Shin Ionizing coil frame locating ribs
US10971618B2 (en) 2019-08-02 2021-04-06 Applied Materials Israel Ltd. Generating milled structural elements with a flat upper surface
US11276557B2 (en) 2019-09-17 2022-03-15 Applied Materials Israel Ltd. Forming a vertical surface
CN110759314A (zh) * 2019-11-02 2020-02-07 中北大学 基于mems工艺的碱金属原子微型气室的制备方法
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
CN112259942B (zh) * 2020-08-31 2021-09-07 中国空间技术研究院 一种用于毫米波段的双工器及其制备方法
CN114649178A (zh) * 2020-12-18 2022-06-21 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
CN112820616B (zh) * 2021-01-18 2024-04-12 北京北方华创微电子装备有限公司 一种半导体工艺腔室
CN116978763B (zh) * 2023-09-25 2023-12-12 电子科技大学 一种便于电子枪电极中心对准的装配方法及装配固定结构

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5284544A (en) * 1990-02-23 1994-02-08 Hitachi, Ltd. Apparatus for and method of surface treatment for microelectronic devices
JPH06208837A (ja) * 1993-01-11 1994-07-26 Nippon Telegr & Teleph Corp <Ntt> イオンビ―ムプロセス装置のプラズマ引出し用グリッド
JP2003035700A (ja) * 2001-07-24 2003-02-07 Kobe Steel Ltd 荷電粒子分析装置、その製造方法及びそれを使用する荷電粒子分析方法
JP2003289064A (ja) * 2002-03-28 2003-10-10 Mitsubishi Materials Corp プラズマエッチング用多層シリコン電極板
US20050214478A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211926A1 (en) * 2004-02-26 2005-09-29 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
JP2009507351A (ja) * 2005-09-06 2009-02-19 カール・ツァイス・エスエムティー・アーゲー 粒子光学部品
KR20110097193A (ko) * 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
KR20140023350A (ko) * 2011-04-11 2014-02-26 램 리써치 코포레이션 기판 플라즈마 프로세싱을 위한 멀티-주파수 중공 캐소드 시스템

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US528544A (en) * 1894-11-06 Son and edward s
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US3969646A (en) 1975-02-10 1976-07-13 Ion Tech, Inc. Electron-bombardment ion source including segmented anode of electrically conductive, magnetic material
JPS5223467A (en) 1975-08-14 1977-02-22 Matsushita Electric Ind Co Ltd Heat sensitive controlling device for use in cooking equipment
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5675606A (en) * 1995-03-20 1997-10-07 The United States Of America As Represented By The United States Department Of Energy Solenoid and monocusp ion source
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
AU4165599A (en) * 1998-06-15 2000-01-05 Nikon Corporation Position sensing method, position sensor, exposure method, exposure apparatus, and production process thereof, and device and device manufacturing method
US6515426B1 (en) * 1998-12-15 2003-02-04 Hitachi, Ltd. Ion beam processing apparatus and method of operating ion source therefor
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100382720B1 (ko) 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) * 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
KR100412953B1 (ko) 2001-11-26 2003-12-31 학교법인 성균관대학 중성빔을 이용한 식각장치
JP3906686B2 (ja) 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030224620A1 (en) * 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6911660B2 (en) * 2002-10-02 2005-06-28 Varian Semiconductor Equipment Associates, Inc. Method of measuring ion beam angles
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
WO2004107825A1 (ja) * 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
US7123454B2 (en) 2003-06-12 2006-10-17 Headway Technologies, Inc. Longitudinal bias structure having stability with minimal effect on output
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) * 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
EP1676291B1 (en) * 2003-10-20 2013-04-24 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electric field regions
US6956219B2 (en) * 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2007016659A (ja) * 2005-07-06 2007-01-25 Kobelco Contstruction Machinery Ltd 冷却ファンの制御装置
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR100706809B1 (ko) * 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
US20090304924A1 (en) 2006-03-03 2009-12-10 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7935942B2 (en) 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) * 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
GB2451480B (en) * 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
KR100895630B1 (ko) * 2007-10-01 2009-05-06 박흥균 전자빔 방출장치
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
TWI520660B (zh) 2009-04-03 2016-02-01 瓦里安半導體設備公司 保形沈積的方法以及在工件中蝕刻三維特徵的方法
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
CN102379005B (zh) 2009-04-13 2016-08-24 应用材料公司 用离子和中性束注入改变膜的磁性
JP5174750B2 (ja) * 2009-07-03 2013-04-03 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線画像を安定に取得する方法
KR20120053003A (ko) * 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
CN201544052U (zh) * 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5444044B2 (ja) 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
RU2579749C2 (ru) * 2010-08-23 2016-04-10 Эксодженезис Корпорейшн Способ и устройство обработки нейтральным пучком, основанные на технологии пучка газовых кластерных ионов
EP2625306B1 (en) 2010-10-05 2020-09-30 Veeco Instruments Inc. Grid providing beamlet steering
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) * 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
JP2014209406A (ja) * 2011-07-20 2014-11-06 キヤノンアネルバ株式会社 イオンビーム発生装置、およびイオンビームプラズマ処理装置
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) * 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
US8613863B2 (en) 2011-11-29 2013-12-24 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US8895323B2 (en) 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
JP2013171925A (ja) * 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9373775B2 (en) 2012-09-13 2016-06-21 Micron Technology, Inc. Methods of forming magnetic memory cells
US9793098B2 (en) * 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
TWI506680B (zh) 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
JP5432396B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US9564297B2 (en) 2013-05-16 2017-02-07 Applied Materials, Inc. Electron beam plasma source with remote radical source
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9269542B2 (en) 2013-11-01 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Plasma cathode charged particle lithography system
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
CN106062945B (zh) 2014-03-11 2019-07-26 东芝存储器株式会社 磁存储器和制造磁存储器的方法
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20160135044A (ko) 2015-05-15 2016-11-24 삼성전자주식회사 패턴 형성 방법, 이를 이용한 자기기억소자의 제조방법, 및 이를 이용하여 제조된 자기기억소자
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
KR102411080B1 (ko) 2015-09-02 2022-06-21 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 자기 메모리 장치의 제조 방법
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6208837B1 (ja) 2016-10-12 2017-10-04 株式会社エイチアイ ユーザインタフェースを制御する方法、プログラム及び装置
US20180233662A1 (en) 2017-02-14 2018-08-16 Lam Research Corporation Systems and methods for patterning of high density standalone mram devices
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5284544A (en) * 1990-02-23 1994-02-08 Hitachi, Ltd. Apparatus for and method of surface treatment for microelectronic devices
JPH06208837A (ja) * 1993-01-11 1994-07-26 Nippon Telegr & Teleph Corp <Ntt> イオンビ―ムプロセス装置のプラズマ引出し用グリッド
JP2003035700A (ja) * 2001-07-24 2003-02-07 Kobe Steel Ltd 荷電粒子分析装置、その製造方法及びそれを使用する荷電粒子分析方法
JP2003289064A (ja) * 2002-03-28 2003-10-10 Mitsubishi Materials Corp プラズマエッチング用多層シリコン電極板
US20050211926A1 (en) * 2004-02-26 2005-09-29 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US20050214478A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
JP2009507351A (ja) * 2005-09-06 2009-02-19 カール・ツァイス・エスエムティー・アーゲー 粒子光学部品
KR20110097193A (ko) * 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
KR20140023350A (ko) * 2011-04-11 2014-02-26 램 리써치 코포레이션 기판 플라즈마 프로세싱을 위한 멀티-주파수 중공 캐소드 시스템

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109698110A (zh) * 2017-10-23 2019-04-30 三星电子株式会社 中空阴极以及用于制造半导体器件的装置和方法
KR20190044889A (ko) * 2017-10-23 2019-05-02 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20190044891A (ko) * 2017-10-23 2019-05-02 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
KR20190044888A (ko) * 2017-10-23 2019-05-02 삼성전자주식회사 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법
CN109698110B (zh) * 2017-10-23 2023-09-05 三星电子株式会社 中空阴极以及用于制造半导体器件的装置和方法
US11798788B2 (en) 2017-10-23 2023-10-24 Samsung Electronics Co., Ltd. Hollow cathode, an apparatus including a hollow cathode for manufacturing a semiconductor device, and a method of manufacturing a semiconductor device using a hollow cathode
US20210375588A1 (en) * 2018-12-06 2021-12-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
WO2023191324A1 (ko) * 2022-03-29 2023-10-05 주식회사 인포비온 대형 리니어 플라즈마 소스, 이를 이용한 대형 리니어 하전입자빔 소스 및 대형 리니어 하전입자빔 소스용 그리드

Also Published As

Publication number Publication date
KR102514467B1 (ko) 2023-03-24
US11062920B2 (en) 2021-07-13
US20160307781A1 (en) 2016-10-20
TWI686886B (zh) 2020-03-01
KR20230043816A (ko) 2023-03-31
CN105390356B (zh) 2017-11-07
CN107768226B (zh) 2020-03-31
CN107768222A (zh) 2018-03-06
CN107768226A (zh) 2018-03-06
KR102660958B1 (ko) 2024-04-24
CN107768222B (zh) 2020-08-18
US20180166304A1 (en) 2018-06-14
KR20240058818A (ko) 2024-05-07
TW201626482A (zh) 2016-07-16
US20160064260A1 (en) 2016-03-03
CN105390356A (zh) 2016-03-09
US9406535B2 (en) 2016-08-02
US9916993B2 (en) 2018-03-13

Similar Documents

Publication Publication Date Title
KR102660958B1 (ko) 이온 빔 에칭을 위한 이온 주입기 전극 어셈블리
US10998167B2 (en) Ion beam etch without need for wafer tilt or rotation
US11289306B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
JP2021536667A (ja) プラズマ処理の方法及び装置
JP2007096299A (ja) 基板処理装置と基板処理方法
JP2017103454A (ja) エッチング処理および蒸着処理のためのコンピュータアドレス可能なプラズマ密度修正
TW201528310A (zh) 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制
US10950414B2 (en) Plasma processing apparatus and method of manufacturing semiconductor device using the same
US20170200587A1 (en) Atomic layer etching system with remote plasma source and dc electrode
CN111986974B (zh) 电子束等离子体源辅助等离子体源的磁约束系统及方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant