CN105390356A - 用于离子束研磨的离子喷射器和透镜系统 - Google Patents

用于离子束研磨的离子喷射器和透镜系统 Download PDF

Info

Publication number
CN105390356A
CN105390356A CN201510548855.2A CN201510548855A CN105390356A CN 105390356 A CN105390356 A CN 105390356A CN 201510548855 A CN201510548855 A CN 201510548855A CN 105390356 A CN105390356 A CN 105390356A
Authority
CN
China
Prior art keywords
electrode
opening
substrate
ion
hollow cathode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510548855.2A
Other languages
English (en)
Other versions
CN105390356B (zh
Inventor
伊凡·L·贝瑞三世
索斯藤·利尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201710920105.2A priority Critical patent/CN107768226B/zh
Priority to CN201710917663.3A priority patent/CN107768222B/zh
Publication of CN105390356A publication Critical patent/CN105390356A/zh
Application granted granted Critical
Publication of CN105390356B publication Critical patent/CN105390356B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma Technology (AREA)

Abstract

本文涉及用于离子束研磨的离子喷射器和透镜系统,本文的实施例涉及用于对半导体衬底执行离子蚀刻的方法和装置、以及用于形成这样的装置的方法。在一些实施例中,可以制造电极部件,电极部件包含具有不同目的的多个电极,每个电极以机械稳定的方式固定到下个。在电极固定在一起之后可以在每个电极中形成开口,因而保证开口在邻近电极之间良好对准。在一些情况下,电极由简并掺杂硅制成,并且电极部件通过静电键合固定在一起。还可以使用其他电极材料和固定的方法。在一些情况下,电极部件可以包含中空阴极发射极电极,其可以具有截锥形或者其他非圆筒开口形状。在一些情况下,还可以存在腔室衬垫和/或反射器。

Description

用于离子束研磨的离子喷射器和透镜系统
技术领域
本发明总体涉及半导体加工领域,具体涉及用于离子束研磨的离子喷射器和透镜系统。
背景技术
半导体器件的制造典型地涉及一系列操作,其中,各种材料沉积在半导体衬底上,并从其移除。材料移除的一个技术是离子束研磨,其涉及将离子输送至衬底的表面来以各向异性方式从表面物理和/或化学地移除原子和化合物。撞击离子冲击衬底表面,并通过动量转移(并在反应离子蚀刻的情况下通过反应)来移除材料。
发明内容
本文的各种实施例涉及用于执行离子束蚀刻来从衬底移除材料的方法和装置。某些实施例涉及方法,其用于形成被用于离子束蚀刻应用的电极部件。其他实施例涉及通过这样的方法形成的电极部件。本文呈现了很多不同的实施例。不同实施例的各种特征可以对于特定应用期望地组合。
在公开的实施例的一个方面中,提供一种制造用于离子束蚀刻反应器的电极部件的方法,所述方法包括:提供第一电极、第二电极和第三电极;提供并固定第一电极间结构,使其固定在第一电极和第二电极之间,并且提供并固定第二电极间结构,使其固定在第二电极和第三电极之间,其中,第一电极、第二电极、第三电极、第一电极间结构、以及第二电极间结构实质上彼此垂直对准,以形成电极部件;以及在第一电极、第二电极和第三电极中形成多个开口,且第一电极间结构和第二电极间结构被固定在电极部件中。
在一些实施例中,第一电极、第二电极和第三电极包括简并掺杂硅,而固定第一电极间结构包括将第一电极间结构附接至第一电极和/或第二电极,而固定第二电极间结构包括将第二电极间结构附接至第二电极和/或第三电极。在一些情况下,静电键合可以被用于在相关件之间创造非常好的连接。在其他实施例中,固定第一电极间结构包括将第一电极间结构直接沉积在第一电极或者第二电极上,和/或固定第二电极间结构包括将第二电极间结构直接沉积在第二电极或者第三电极上。在某些情况下,固定第一电极间结构包括提供附着剂或者玻璃熔块来在第一电极和第二电极之间固定第一电极间结构,和/或固定第二电极间结构包括提供附着剂或者玻璃熔块来在第二电极和第三电极之间固定第二电极间结构。在一些实施方式中,固定第一电极间结构并固定第二电极间结构包括在每个第一电极、第二电极和第三电极中形成两个或两个以上的引导孔,并将针插入通过引导孔。在这些或者其他情况下,固定第一电极间结构并固定第二电极间结构包括提供直接或者间接固定第一电极间结构和第二电极间结构、以及直接固定至少第一电极和第三电极的托架或夹子。在一些情况下,在形成开口之前,第一电极间结构和/或第二电极间结构可以是材料的连续层。
在一些实施例中,在第一电极、第二电极和第三电极中形成开口还包括在第一电极间材料和第二电极间材料中形成开口。方法还可以包括在形成开口之后,将电极部件浸在蚀刻溶液中,因而蚀刻和移除至少第一电极间层的一部分和第二电极间层的一部分。将电极部件浸在蚀刻溶液中可以导致形成支撑结构以接触第一电极和第二电极,或者接触第二电极和第三电极,支撑结构由第一电极间结构和第二电极间结构形成。可以在形成开口之前和/或之后成形第一、第二、和第三电极,以防止电极弯折。
此外,第一、第二和第三电极、以及第一和第二电极间结构可以具有的热膨胀系数(CTE)彼此不同约50%或50%以下。在其他情况下,CTE更密切匹配。在一些情况下,在形成开口之后,粉尘从第一和第二电极之间和/或第二和第三电极之间移除。在某些情况下,开口可以由激光(例如Q切换CO2激光、脉冲UV激光、或者二极管泵固态激光(DPSS))钻出。用于形成开口的另一个处理包括:在第一电极上定位一个或多个金属结构;将电极部件放置在电解槽中;以及施加电场,因而使金属结构在第一电极、第一电极间材料、第二电极、第二电极间材料和第三电极中形成一个或多个开口。方法还可以包括在将电极部件放置在电解槽中之前,将反射器前体层固定至与第二电极间结构相对的第三电极;以及在一个或多个金属结构在第三电极中形成一个或多个开口之后,在电解槽中倾斜电极部件,并接着施加电场,因而在反射器前体层中形成一个或多个开口来形成反射器,第三电极中的开口在第三电极和反射器之间的界面对准反射器中的开口,当开口不提供通过电极部件和反射器的直接视线。更一般而言,在一些情况下,方法包括将反射器附接至第三电极,其中,反射器阻断通过电极部件的直接视线。
在某些情况下,电极被制造以包括具有针对不同电极的不同直径的开口。例如,第三电极可以具有的开口直径大于第二电极的开口直径(例如大于约30%)。类似地,第二电极可以具有的开口直径大于第一电极的开口直径(例如大于约30%)。在某些实施例中,一个或多个电极中的开口具有约10°或10°以下的锥形。
气体通路可以形成在第一电极间结构和/或第二电极间结构中。气体通路可以允许在蚀刻期间气体从电极部件的内部区域向外逃逸。
在某些实施例中,在形成开口之前或者之后,可以向电极部件提供第四电极,第四电极提供在第一电极之上,其中,第四电极形成具有多个中空阴极发射极的中空阴极发射极电极。中空阴极发射极电极可以具有上表面和下表面,下表面面对第一电极。在各种情况下,在第一、第二和第三电极中形成开口之前、期间或者之后,多个孔可以被形成在中空阴极发射极电极中,每个孔具有在上表面较大且朝下表面较小的直径,在第一、第二、和第三电极中形成开口之后,孔对准开口的位置。中空阴极发射极电极的中空阴极发射极可以具有各种形状。在某些情况下,中空阴极发射极电极中的孔包括下圆筒部分和上可变直径部分。上可变直径部分可以具有漏斗形状。在某些类似的实施例中,第一电极可以制造为中空阴极发射极电极,其具有相对于中空阴极发射极电极说明的任何性质。
本文的各种实施例涉及用于执行离子束蚀刻的方法和装置。这些实施例可以利用根据公开的技术制造的电极部件。然而,这样的电极部件不一定存在于所有实施例中。
例如,在公开的实施例的另一个方面中,提供了蚀刻半导体衬底的方法,该方法包括:在衬底支撑件上定位衬底,其中,耦接至衬底支撑件的旋转机构被配置为以约2°或者更好的精度使衬底旋转;施加第一偏置至第一电极,并施加第二偏置至第二电极,其中,第一和第二电极其中包括开口,并且在第一和第二电极上方供应等离子体,其中,离子通过第一和第二电极中的开口朝衬底的表面;当供应等离子体时,在第一方向以及与第一方向相反的第二方向循环旋转衬底和衬底支撑件;以及蚀刻衬底,作为当旋转衬底时离子或者粒子冲击衬底的表面的结果。
旋转由衬底支撑件的配置限制。换言之,衬底可以从中心开始位置在第一方向上旋转约215°或215°以下,并从中心开始位置在第二方向上旋转约215°或215°以下。因为衬底从第一方向的旋转的端点开始第二方向的旋转,所以第二方向的旋转总体可以为约430°或430°以下。同样,一旦衬底再次在第一方向上旋转,旋转从第二方向的旋转的端点开始,因此,第一方向的第二(或者第n)个旋转可以为约430°或430°以下。在一些情况下,衬底支架被配置为旋转约±180°或180°以下。这样的配置可以允许衬底在任一方向约360°的旋转,如从中心测量点测量的那样。
在旋转的不同部分期间可以使用不同的旋转速率。例如,当在第一方向旋转时,衬底可以以第一平均旋转速率旋转,并且当在第二方向旋转时,以第二平均旋转速率旋转,第一和第二平均旋转速率不同。在另一个示例中,每个方向的衬底旋转可以包含较慢部分和较快部分。离子或者粒子可以在仅一部分旋转期间冲击衬底的表面。例如,当衬底在第一方向旋转但不是当衬底在第二方向旋转时,离子或者粒子可以冲击衬底的表面。类似地,离子或者粒子可以在旋转的较慢部分但不在旋转的较快部分冲击衬底的表面。
在各种实施例中,衬底支架被配置为以约2°或者更好的精度旋转和/或倾斜衬底。这样的精度在衬底在2个不同方向旋转的情况中是特别有利的。方法可以包含使离子冲击位于第二电极下方的反射器,因而中和离子来形成粒子。方法还可以包含使离子穿过位于第二电极下方的第三电极中的开口。第三电极可以接地。如果存在两个要素,那么第三电极可以位于反射器上方。在一些实施例中,方法还包含在位于第一和第二电极上方的中空阴极发射极电极中的多个中空阴极发射极产生多个微喷射等离子体放电,微喷射等离子体放电对准第一和第二电极中的开口。
关于先前的实施例说明的旋转模式可以用于本文公开的任何蚀刻和蚀刻装置实施例中。然而,这样的旋转模式(即循环双向旋转)不是在每个实施例中都需要的。其他实施例可以被配置为例如利用单个方向的连续旋转。
在公开的实施例的另一个方面中,提供了蚀刻半导体衬底的方法,该方法包含:向反应腔室提供衬底,包含:第一电极、第二电极和第三电极,每个电极具有其中形成的多个开口,在电极部件中相对于彼此固定第一电极、第二电极、和第三电极之后形成开口,在单个操作中在第一电极、第二电极、第三电极、在衬底支撑件和一个或多个气体入口中形成开口;在向第一电极上方产生或者供应等离子体;施加第一偏置至第一电极,并施加第二偏置至第二电极,因而以准直的离子束朝向衬底引导离子;并且蚀刻衬底,作为离子被引导朝向衬底的结果。
如上所述,电极部件可以通过本文说明的任何部件形成方法来形成。
方法还可以包含使离子冲击位于第三电极下方的反射器,从而中和离子并提供中性粒子束。在某些实施方式中,方法包含在第一方向上以及与第一方向相反的在第二方向上循环旋转衬底。衬底支撑件可以被配置为移动约±215°或215°以下、例如约±180°或者介于约±180°与±215°之间。反应腔室还可以包含闸板,闸板被配置为当闸板关闭时防止离子撞击衬底。当衬底在特定方向上旋转时,闸板可以防止离子撞击衬底。在这些或者其他情况下,在每个旋转的特定部分(例如,当衬底在较快部分期间旋转时阻断离子,当衬底在旋转的较慢部分期间旋转时不阻断离子)期间,闸板可以防止离子撞击衬底。在一些情况下,旋转衬底包含在第一方向上以第一平均速度旋转衬底,并在第二方向上以第二平均速度旋转衬底,第二平均速度不同于第一平均速度。
方法还可以包含在蚀刻期间倾斜衬底。这样的倾斜可以导致准直的离子束或者粒子束以非法线角冲击衬底。由相对于公开的实施例的某些其他方面可知,反应腔室还可以包含第一电极上方的第四电极,第四电极是具有多个中空阴极发射极的中空阴极发射极电极。在这样的情况下,在第一电极上方产生等离子体可以包含在中空阴极发射极中产生等离子体。这样的等离子体产生可以附加于在中空阴极发射极电极上方发生的等离子体产生。中空阴极发射极中的产生等离子体可以包含施加RF偏置至中空阴极发射极电极。在某些情况下,方法还包含在中空阴极发射极电极上方和下方产生约1Torr或1Torr以上的压力差。在一些实施例中,通过中空阴极发射极电极的气体传导为约800L/min或800L/min以下。
在公开的实施例的另一个方面中,提供了用于蚀刻半导体衬底的装置,所述装置包含反应腔室,所述反应腔室包含:离子源,其配置为将衬底暴露在离子或者从离子产生的中性粒子的通量中;衬底支撑件,其配置为在蚀刻期间支承衬底;旋转机构,其用于倾斜并旋转衬底和衬底支撑件,所述旋转机构配置为以约2°或者更高的精度旋转并倾斜每个衬底;以及控制器,其具有指令来设定在蚀刻期间的衬底倾斜角、旋转角和离子能量。衬底支撑件可以被配置为相对于在0°的中心开始位置旋转约±215°或215°以下,例如±180°。在一些实施例中,旋转机构包含传感器、步进器、或者能够以约2°或者更高的精度来检测衬底的旋转位置的其他机构。在某些情况中,离子源包含一起固定到如本文所述的电极部件中的多个电极。此外,装置可以包含其中具有多个中空阴极发射极的中空阴极发射极电极。
在公开的实施例的又一个方面中,提供了用于蚀刻半导体衬底的装置,所述装置包含:反应腔室;衬底支撑件;入口,其用于向反应腔室供应一个或多个气体或者等离子体;第一电极、第二电极和第三电极,每个电极其中具有多个开口,其中,第二电极位于第一电极下方,第三电极位于第二电极下方;中空阴极发射极电极,其包括多个中空阴极发射极,其中,中空阴极发射极对准第一、第二、和第三电极中的开口,其中,中空阴极发射极电极位于第一电极上方;以及一个或多个RF源,其被配置为进行一个或多个的如下操作:(i)在中空阴极发射极电极上方产生等离子体,(ii)施加偏置到中空阴极发射极电极,(iii)施加偏置到第一电极和/或(iv)施加偏置到第二电极。
在一些实施例中,一个或多个RF源被配置为进行(i)-(iv)中的三个或更多个,例如全部(i)-(iv)。装置还可以包含旋转机构,所述旋转机构配置为以约2°或者更高的精度旋转衬底和衬底支撑件。装置还可以包含控制器,所述控制器具有指令来控制在蚀刻期间的倾斜角、旋转角和离子能量。此外,装置可以包含位于第三电极下方的反射器,反射器可操作来中和在蚀刻期间通过第一、第二和第三电极中的开口的离子。
在某些情况下,电极包含针对不同电极具有不同直径的开口。例如,第三电极可以具有的开口直径大于第二电极的开口直径(例如大于约30%)。类似地,第二电极可以具有的开口直径大于第一电极的开口直径(例如大于约30%)。在某些实施例中,一个或多个电极中的开口具有约10°或10°以下的锥形。当在中空阴极发射极电极上方提供约1SLM的气体流率时,通过中空阴极发射极的气体传导可以相当低,例如约800L/min或800L/min以下。
在公开的实施例的另一个方面中,提供了用于蚀刻衬底的装置,所述装置包含:反应腔室,反应腔室包含:衬底支架,其被配置为在蚀刻期间支承衬底;一个或多个入口,其用于向反应腔室提供气相反应物和/或等离子体;电极部件,其包括第一电极、第二电极和第三电极,每个电极包括多个开口,每个电极的开口在单个处理中形成,处理包含:将第一电极、第二电极和第三电极固定在一起,使其垂直堆叠并相对于彼此固定,并在将第一电极、第二电极和第三电极固定在一起之后,在第一电极、第二电极和第三电极中形成开口,使得每个电极中的开口对准。
第一、第二和第三电极可以在电极部件中彼此固定。电极部件可以通过任何公开的方法来形成。在一些情况下,第一电极、第二电极、和第三电极中的至少一个具有的厚度为介于约0.5mm–10cm之间,或者为介于约1mm–3cm之间、例如为约5mm。开口可以具有的直径为介于约0.5-1cm之间。开口尺寸的其他方面如本文说明。
在一些情况下,电极由简并掺杂硅制成。在这样的情况下,第一电极可以经由第一电极间结构固定至第二电极,第一电极间结构静电地键合到第一电极和第二电极中的至少一个,并且第二电极可以经由第二电极间结构固定至第三电极,第二电极间结构静电地键合到第二电极和第三电极中的至少一个。在某些实施例中,第一和第二电极间结构中的至少一个包含氧化硅。第一和第二电极间结构中的至少一个可以在其周边或者附近支承第一、第二和/或第三电极。在一些情况下,第一和第二电极间结构中的至少一个包含环和/或拱肋。在某些实施方式中,第一、第二和第三电极包含耐火金属。
衬底支架可以被配置为在蚀刻期间旋转±215°或215°以下、例如约±180°。控制器可以具有指令来在第一方向上和与第一方向相反的第二方向上循环旋转衬底和衬底支架。在旋转期间可以使用可变旋转速度。在旋转的某些部分期间,闸板可以被用于防止离子和/或粒子冲击衬底。在某些实施例中,腔室衬垫可以被用于在蚀刻期间覆盖反应腔室的内表面,腔室衬垫包含抗溅射材料。
这些和其他特征将在下文参考关联的附图说明。
附图说明
图1绘出用于蚀刻半导体衬底的反应腔室的简化视图。
图2示出根据某些实施例的电极部件的剖视图。
图3的面板A-H示出根据一些实施例的可以用于电极部件中的电极间结构的各种可行形状的示例。
图4绘出电极间结构的一部分的剖视图。
图5A-5D示出根据某些实施方式来形成电极部件的步骤。
图6A示出电极的俯视图,该电极具有为了对电极部件提供增加的结构支撑件而省略的多个开口。
图6B和6C示出使用具有图6A所示的形状的电极在形成期间,在不同点处的电极部件的剖视图。
图7A-7D绘出根据一些实施例的将电极部件固定在一起的各种方式。
图8示出被用于某些实施方式的中空阴极发射极电极的特写剖视图。
图9示出中空阴极发射极电极的截锥形开口中微喷射的形成。
图10示出在某些实施例中配备有被用于将离子束中和的反射器的反应腔室。
图11示出使用静电键合经由电极间材料固定在一起的2个电极。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”、以及“部分制造的集成电路”是互换使用的。本领域的普通技术人员可以理解术语“部分制造的集成电路”能够指在集成电路在其上制造的很多阶段的任何期间的硅片。被用于半导体设备产业的晶片或者衬底典型地具有200mm、或者300mm、或者450mm的直径。下面的具体实施方式假定本发明在晶片上实现。然而,本发明不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片,可以利用本发明的其他工件包含各种物品,诸如印制电路板、磁记录介质、磁记录传感器、反射镜、光学元件、电光设备、微机械设备等。
在下面的说明中阐述了大量具体细节,用来提供对呈现的实施例的彻底理解。公开的实施例可以在没有这些具体细节中的一些或者所有的情况下付诸实践。在其他实例中,没有具体说明公知处理操作,以免不必要地模糊公开的实施例。结合具体实施例来说明公开的实施例,但要理解的是这不意图限制公开的实施例。
离子束蚀刻通常被用于半导体器件的制造。如上所述,离子束蚀刻涉及通过向衬底表面输送高能离子,从衬底的表面移除材料。离子束蚀刻可以大致分类为:单独涉及惰性离子(例如氩离子)的处理;以及涉及反应离子或者由离子(例如启动与衬底的表面上化学吸附或者物理吸附的反应物的化学反应的氧离子、某些离子化的化合物,诸如含氟离子化的化合物、反应或者惰性离子等)启动的化学反应的处理。在这些处理中,离子撞击到衬底表面,并通过直接物理动量转移(溅射)或者由来自离子的能量传递启动的化学反应(反应离子束蚀刻或者化学辅助的离子束蚀刻)来移除材料。反应离子束蚀刻(RIBE)典型地涉及利用能够与衬底化学反应的离子(诸如氧、氟等)。在化学辅助的离子束蚀刻(CAIBE)中,惰性离子或者在衬底和反应物(诸如吸附在表面上的施加气体)之间启动化学反应,或者在产生反应物位点的同时或者之后在衬底的表面产生与施加反应物反应的反应位点,或者其任何组合。
离子束蚀刻处理的某些应用涉及蚀刻非易失性材料。在一些情况下,蚀刻的材料是导电材料。在某些实施例中,材料在如下环境中蚀刻:形成磁阻随机存取存储器(MRAM)设备、自旋力矩转移存储器设备(STT-RAM)、相变存储器设备(PSM)、非易失性导体(铜、铂、金等)。在其他应用中,控制对衬底的离子入射角的能力能够有助于产生3D设备,诸如垂直堆叠的存储器。
当执行离子束蚀刻处理时,期望在整个衬底表面促进高度均匀的离子通量。高度的均匀有利于创造跨衬底的整个表面都可靠的器件。此外,可以期望的是在某些情况下促进高离子通量和/或气相反应物的高通量。高通量能够帮助使吞吐量最大化。影响蚀刻结果的质量的另一个要素是控制离子冲击表面的能量和角度的能力。这些要素在形成具有期望的尺寸和轮廓的特征中很重要。
图1呈现根据某些方法来执行离子束蚀刻的装置100的简化剖视图。在该示例中,衬底101位于可以配备有提供电连接和流体连接的硬件(未示出)的衬底支撑件103上。电连接可以被用于向衬底支撑件103或者在一些情况下向位于衬底支撑件103上或者内的静电卡盘(未示出)供应电力,而流体连接可以被用于提供流体,流体被用于控制衬底101和衬底支撑件103的温度。衬底支撑件103可以由加热器(未示出)加热或者由冷却机构(未示出)冷却。冷却机构可以涉及使冷却流体流动通过在衬底支撑件103中的管道或者与衬底支撑件103相邻的管道。衬底支撑件103可以能够以可变速度和角度旋转并倾斜,如图1的双向箭头表明的那样。
等离子体产生气体被输送给主要等离子体产生区域105。等离子体产生气体由等离子体源107激励。在图1的环境下,等离子体源107是线圈,作为电感耦合的等离子体源来起作用。在适当设计的反应器中可以采用其他源,诸如电容耦合的源、微波源或者放电源。等离子体在主要等离子体产生区域105中形成。提取电极109包含通过其提取离子的一系列开口110。
开口110可以具有介于约0.5-1cm之间的直径、以及由电极的厚度定义的高度。开口110可以具有介于约0.01–100.0之间的深宽比(AR)。在一些情况下,开口110被布置为六边形、方形网格、或者螺旋图案,但也可以使用其他图案。邻近开口之间的中心到中心距离可以为介于约1mm–10cm之间。开口可以被配置为实现总体打开面积(即,每个开口的面积的总和),当仅考虑电极的单个(顶部或者底)面时该总体打开面积为电极的表面积的约0.1%–95%。例如,具有40cm的直径和500个孔的电极将具有约31%的打开面积(393cm2的打开面积除以1257cm2的总面积)每个孔具有1cm的直径。开口110可以在不同的电极中具有不同的直径。在一些情况下,开口直径在上电极中更小,并在下电极中更大。在一个实施例中,下电极113中的开口大于聚焦电极111中的开口(例如,约0-30%更大)。在这些或者其他情况下,聚焦电极111中的开口大于提取电极109中的开口(例如,约0-30%更大)。
相对于衬底101适用到提取电极109的偏压V1起作用,以相对于衬底向离子提供动能能量。该偏置通常是正的,并能够在约20-10000伏特之间或以上的范围。在某些情况下,提取电极上的偏置介于约20-2000伏特之间。提取电极109上的等离子体中的正离子被电极109和113之间的电势差吸引到下电极113。增加聚焦电极111来将离子聚焦,并且如果需要将排斥电子聚焦。该电极上的偏压V2相对于提取电极109能够是正的或者负的,但是通常被负偏置。聚焦电极111的偏置电势由聚焦电极111的透镜特征来确定。聚焦电极上的偏置电压包含:提取电极上的电势V1的介于约1.1至20之间倍数的正电压;以及具有电势V1的介于约0.001至0.95之间倍数的大小的负电压。由于不同的电势施加到不同的电极,因此存在电势梯度。电势梯度可以为约1000V/cm。邻近电极之间的示例间隔距离落入介于约0.1-10cm之间,或者例如约1cm。
在离子离开接地下电极113的底之后,如果聚焦电极111电压被设定为生成准直的束,那么该离子以准直且聚焦的束行进。替代地,如果调节聚焦电极电压来对离子束欠聚焦或者过聚焦,那么该束能够发散。在很多(但是并非所有)情况下,下电极113接地。结合接地衬底101来使用接地下电极113会导致实质上无场的衬底处理区域115。衬底位于无场区域防止了电子或者二次离子朝向衬底加速,因而使产生不希望的损伤或者二次反应的风险最小化,该电子或者二次离子是由离子束与残留气体或者与反应腔室的表面之间的碰撞产生的。
另外,重要的是防止衬底101从离子束其自身充电,或者从在离子束与衬底碰撞的期间产生的二次电子排出。中和典型地是通过在衬底101的附近增加低能量电子源(未示出)而实现的。由于离子上的正电荷和排出的二次电子两者对衬底正充电,因此,衬底附近的低能量电子能够被吸引到带正电的表面并能够将该电荷中和。在无场区域执行该中和更简便。
在一些应用中,在下电极113和衬底101之间具有电势差可能是合乎期望的。例如,如果要求非常低能量的离子,那么由于带正电的离子的互相排斥(空间电荷效应),难以在长距离以低能量维持良好准直的束。一个解决方案是相对于衬底101(或者相对于下电极113对衬底101相反地正偏置)在下电极113施加负偏置。这允许以更高能量取出离子,然后随着其接近衬底将速度减慢。
在某些离子束蚀刻操作中,可以省略3个电极中的一个。当情况如此时,关于离子指向衬底的表面的能量的灵活性更小。出现该限制是因为离子为了根据期望而聚焦并引导,特定比率的偏压电势应该适用到2个电极。偏压电势的比率由2个电极的聚焦特征和几何形状来控制。这样,当使用特定几何形状并在下电极期望特定偏压/电状态(例如接地)时,有适用到上电极的偏压几乎没有或完全没有灵活性。结果是,使用这样的设置的反应腔室限于随着其通过各种电极行进可以给到离子的离子能量的范围。第三电极的导入允许离子在很多不同的离子能量处根据期望来聚焦/引导,如上所述。
每个电极109、111和113具有的厚度可以在介于约0.5mm–10cm之间,或者介于约1mm–3cm之间,例如约5mm。电极109、111和113每个可以具有相同的厚度,或者其可以具有不同的厚度。此外,提取电极109和聚焦电极111之间的间隔距离可以是等于、大于、或者小于聚焦电极111和下电极113之间的间隔距离。每个电极109、111和113还具有可以小于、等于、或大于正被处理的衬底的尺寸的尺寸。在某些实施例中,电极的尺寸接近衬底或者衬底支撑件的尺寸(例如约50%内)。
电极109、111和113可以是圆形、矩形或者其他多边形形状。在某些实施例中,电极是长和窄的,其中,长度尺寸约等于或大于衬底的一个尺寸,并且衬底在正交方向上被扫描,使得当随着时间推移平均时离子束跨衬底表面均匀撞击。
提取电极109、聚焦电极111和下电极113中的开口110可以彼此精确对准。否则,离子将会错误瞄准,且晶片上的蚀刻结果将变差。例如,如果聚焦电极111中的单个开口未对准,那么这可能导致衬底101的一个区域变得过蚀刻(引导了太多离子),并且衬底101的另一个区域变得欠蚀刻(没有引导离子或者引导了太少的离子)。这样,开口彼此尽可能多地对准是合乎期望的。在各种情况下,垂直相邻的电极之间的未对准被限制为孔直径的约1%以下(测量为与相邻的开口相比的开口位置的线性移位的距离)。
离子束蚀刻处理典型地以低压运行。在一些实施例中,压力可以在约100mTorr或100mTor以下,例如约1mTorr或1mTorr以下,并在很多情况下为约0.1mTorr或0.1mTorr以下。低压帮助使存在于衬底处理区域的离子和任何气态物质之间的不期望碰撞最小化。在某些情况下,相对高压的反应物在另外的低压离子处理环境中输送。用于实现这样的处理方法的装置在2014年8月12日提交的美国专利申请No.14/458161,标题为“DIFFERENTIALLYPUMPEDREACTIVEGASINJECTOR”中有说明,其整体通过引用并入本文。
在一些实施例中,离子束蚀刻处理可以用于原子层蚀刻处理。在下面的美国专利中进一步讨论了原子层蚀刻方法,其每个的整体通过引用并入本文:美国专利No.7416989,标题为“基于吸收材料的移除处理(ADSORPTIONBASEDMATERIALREMOVALPROCESS)”;美国专利No.7977249,标题为“在制造触点期间移除氮化硅和其他材料的方法(METHODSOFREMOVINGSILICONNITRIDEANDOTHERMATERIALSDURINGFABRICATIONOFCONTACTS)”;美国专利No.8187486,标题为“调节氮化硅薄膜的蚀刻选择性和蚀刻速率(MODULATINGETCHSELECTIVITYANDETCHRATEOFSILICONNITRIDETHINFILMS)”;美国专利No.7981763,标题为“对于高深宽比间隙填充的原子层移除(ATOMICLAYERREMOVALFORHIGHASPECTRATIOGAPFILL)”;和美国专利No.8058179,标题为“以更高蚀刻量的原子层移除处理(ATOMICLAYERREMOVALPROCESSWITHHIGHERETCHAMOUNT)”。
电极材料
典型地,被用于构造电极的材料应该兼容高温,以容纳在很多离子研磨处理中发生的大幅加热。典型地,电极材料还应该是机械刚性的,使得当安装在离子研磨装置中时,电极不会弯曲或弯折到实质的程度。更低刚性的材料可以由额外的支撑结构体支承,如下所述。在很多常规情况下,电极由耐火金属组成(例如典型为钨、钽和钼)。不幸的是,电极的物理溅射法经常导致被制造的器件的重金属污染。该污染能够不利地影响器件的性能。
在本文的某些实施例中,一个或多个(在一些情况下所有)的电极由掺杂硅(例如简并掺杂的硅)制成。本征且轻度掺杂硅可能不充分导电以用作电极。硅可以是多晶或者单晶。掺杂剂可以是砷、硼、磷、或者其组合。掺杂剂可以以至少约1020原子/cm3,例如介于约1020–1023原子/cm3之间,或者介于约1022–1023原子/cm3之间的浓度/成分存在。电极可以具介于有约0.1–0.01Ω-cm之间的导电性。
硅具有低热膨胀系数,意味着随着硅被加热其不会经历显著的体积膨胀。另外,硅在高温下稳定,并具有相对低的溅射率(例如,对于200eV氩为约0.2)。此外,甚至当材料确实溅射出硅电极时,大多数半导体器件与来自大多数金属的污染相比,对硅表面污染较不敏感。硅能够是单晶或者多晶或者非晶类型、或者这些类型的任何组合。此外,硅电极可以以常规金属电极不能的方式与部件一起制造,如下文进一步所述。
在某些实施例中,一个或多个电极由除硅外的材料制成。在其他情况下,一个或多个电极可以包含钨、钽、钼、铌、铼、钛、钒、铬、锆、钌、铑、铪、锇、铱、或者其组合。虽然硅基电极提供了某些益处,但不要求在所有实施例中都使用。
电极部件的结构和制造
在常规离子束研磨装置中,每个电极是单独制造的。该制造涉及形成材料的板,进而在板中形成开口。设置每个电极中的开口,使得其对准其他电极中的开口。光学对准方法可以被用于对准邻近板之间的开口。当开口彼此不完美对准时,通过这样的开口的离子轨道会偏斜。沿着这样的偏斜轨道行进的离子将以角度冲击衬底,与通过对准的开口的离子相比是非均匀的。当实质上未对准时,离子可以整个从通过这样的未对准的开口阻断。随着离子通过电极的离子轨道的偏斜和阻断会导致晶片表面上的非均匀离子撞击(在冲击角度和通量两方面),结果导致非均匀的离子刻蚀结果。单个电极上的单独开口的数量可以介于约1-20000之间,例如介于约10-5000之间的范围。由于大量的开口以及3个不同的电极分开形成且对准的事实,易于制造具有未对准的孔的电极。
现在说明示例制造技术。在本文的某些实施例中,使用不同顺序的操作。首先,未钻孔的电极形成到部件中。接下来,单个的统一处理被用于在部件的所有电极中形成开口。该制造方案会得到生成极其良好对准且均匀离子轨道和通量的电极。以往未采用该制造方案的一个原因是:非常难以以在开口形成处理期间机械稳定的方式一起充分固定并机器制造电极,上述开口形成处理可能涉及激光钻孔(例如用CO2、UV或者DPSS激光)。由于该机械不稳定性,电极可能在开口形成处理期间破裂或者变得未对准。
在一些实施例中,使用基硅电极允许以机械稳定的方式将电极固定在一起的替代方法。尤其是,硅电极能够键合到诸如二氧化硅的电极间材料,其能够键合到另一个硅电极。在某些实施例中,键合是静电键合。这样的键合方法有时被称为阳极键合。该方法可以被用于生成具有多个电极的电极部件,多个电极彼此由二氧化硅或者另一个材料制成的结构或者电极间层分开。下文进一步讨论静电和其他键合/接合方法。
图2呈现包含提取电极209、聚焦电极211和下电极213的电极部件200的剖视图。在一些实施例中,电极是简并掺杂的硅,如上所述。在其他实施例中,使用更常规的电极材料。相邻的电极被电极间结构220分开。电极间结构可以是环、栅格、网络、拱肋等。结合图3进一步示出并说明示例电极间结构。电极间结构220至少服务2个主要目的。首先,电极间结构220支撑电极来维持其间分离的距离,并对电极部件200提供结构刚性。其次,电极间结构220以机械稳定的方式将电极附接/键合在一起,使得开口210能够在单个的统一处理中钻孔到每个电极209、211和213。该统一处理保证了开口210在相邻的板之间完美对准,使得通过各种开口210的离子轨道在冲击角度和通量方面极其均匀。图2呈现开口210已被钻孔后的电极部件200。
图3呈现根据某些实施例(诸如图2中呈现的一个)的可以被用于电极部件的各种可行的电极间结构的俯视图。如图所示,在图3的面板A-G,可以使用很多不同的形状。在面板A中,使用简单的环。在一些情况下,尤其是使用相对较薄的电极时,简单的环可能不足以防止电极的弯折。安装时会弯折的电极将不能发挥功能来有效根据期望将离子瞄准到衬底。反而,离子轨道将偏斜。因此,在各种实施例中,额外的支撑件(其可以是与环相同的材料)可以增加至电极间结构。在面板B和C中,例如增加了径向支撑件。可以使用任何数量的径向支撑件。在面板D中,面板B中示出的径向支撑件构成整个电极间结构,并且不使用外围环。类似地,也可以从面板C、E、F和H中示出的任何设计省略外围环。面板E中示出的电极间结构包含跨外围环形成弦杆的2个支撑件。可以使用任何数量的弦杆。当从上方观看时支撑件还可以弯曲或者为波形。在面板F中,当从上方观看时,支撑件具有波纹形状。波纹(或者弯曲/波形)支撑件可以被配置为当考虑单个电极时在开口之间嵌合。在面板G中,电极间结构是方形。方形结构还可以修改为包含额外的支撑件,如图3的其他面板中所示。在面板H中,使用一系列的3个同心电极间结构。在每个集合电极之间可以使用任何数量的单独电极间结构。在相关实施例中,同心结构例如可以由径向支撑件附接到彼此。在这样的情况下,电极间结构可以像蜘蛛网。本领域的普通技术人员能理解,图3提供的结构仅代表可能的结构的一小部分。在不脱离考虑的实施例的情况下还有很多修改可用。
在各种情况下,可以设计电极间结构,使得其不阻断任何开口。这种类型的设计可以涉及电极间结构位于的地点的仔细控制,以保证该结构不放置在要形成开口的地点。在其他实施方式中,重叠开口和电极间结构没有问题,因为电极间结构在开口形成期间与电极一起蚀刻。在这种情况下,在形成了开口之后不再有阻断开口的任何电极间结构材料。下文进一步详细讨论这样的处理方法。
被用于制成电极间结构的材料能够是任何刚性或者略刚性,中至高电阻材料。例如,电极间结构可以由二氧化硅(例如熔融二氧化硅、硼硅酸玻璃、铅玻璃等)制成,或者由陶瓷(例如碳化硅、氮化硅、氧化锆、氧化铝、堇青石、氮化铝,金属陶瓷、钙钛矿、钛酸盐、锆酸盐、锂铝硅酸盐、或者其组合)、可加工陶瓷(诸如来自纽约康宁的Corning公司的)制成,或者由聚合物(诸如环氧树脂、聚酰亚胺、聚酰胺)等制成。
电极间结构可以具有高度(有时被称为厚度)为介于约0.5mm–10cm之间,例如为介于约0.5mm–5cm之间,或者为介于约0.7mm–2cm之间。电极间结构的高度/厚度限定相邻的电极之间的间隔距离。在各种情况下,使用2个电极间结构。第一电极间结构将提取电极从聚焦电极分开,并且第二电极间结构将聚焦电极从下电极分开。第一和第二电极间结构可以具有相同的高度,使得每个集合电极之间的分离是均等的。在其他情况下,电极间结构可以具有不均等的高度,使得提取电极和聚焦电极之间的距离大于或者小于聚焦电极和下电极之间的距离。电极间的距离(以及电极间结构的高度)可以不均等,以促进特定透镜模式(即、准直)和向/通过电极部件的离子收集。在一些实施例中,电极间结构可以具有与电极的周边大致共同延伸的周边,如图2所示。在其他实施例中,电极间材料周边可以电极的小于周边,例如以便于电连接至电极。
图4呈现电极间结构450的一部分的特写视图。图3呈现电极间结构的俯视图,图4呈现侧视图。图4所示的部分可以对应于电极间结构的任何部分,例如外围环、或者额外的支撑件(诸如径向支撑件、弦杆、拱肋等)。该部分可以适当弯曲或者是直的。开口形成或者部件和键合处理可能导致显著加热。加热能够使气体膨胀且压力增加,膨胀的气体没有用于移除的简单途径。另外,当随着系统启动保养期间发生的围绕电极部件的环境被抽空或加压时,可能跨电极部件发生大压力差。例如,在部件的真空抽送期间存在于相邻电极之间的空间的气体能够膨胀,导致在电极之间的压力增加。这样的压力增加可能是危险的,并且应该避免。这样,在各种情况下,电极间结构450可以包含气体通路455,气体通路455被配置为允许气体从相邻的电极之间的空间逃逸。气体通路455可以设置为任何适当的尺寸和图案。气体通路455应该充分大,以允许气体逃逸而没有显著的压力积聚,但是不应太大或者紧密隔开以损害电极间结构450的结构完整性。
在很多情况下,电极间结构可以在其附接到电极之前形成/成形。然而,在其他情况下,电极间结构的形状在电极间结构附接到电极之后形成。这样的成形可以既发生在开口的形成期间也发生在开口的形成之后。
图5A-5D呈现在制造期间时间的不同点处的电极部件500的剖视图。最初,提供其中没有任何开口的提取电极509、聚焦电极511和下电极513。在每组相邻的电极之间提供电极间层520。电极间层520可以预形成(如图5A所示),或者其可以直接沉积在电极509、511和/或513上(例如通过物理气相沉积、化学气相沉积、溶胶凝胶沉积、喷涂、或者层压)。电极间层520还可以被称作电极间结构或者电极间材料。接下来,电极间层520连接至电极以产生电极部件500,如图5B所示。在一些情况下层可以由静电键合来连接。在其他情况下,玻璃熔块可以被用于将层键合。在其他情况下,机械结构和/或附着剂被用于将层固定在合适地点。下文进一步讨论静电和机械键合方法。在电极509、511和513固定到电极间层520之后,开口510被钻孔到电极部件,如图5C所示。开口可以通过激光钻孔(例如用CO2、UV或者DPSS激光)、机械钻孔(例如使用金刚石尖钻孔)或者其他钻孔处理来形成。接下来,电极部件可以暴露至蚀刻化学品,以蚀刻掉电极间层520中的开口之间的至少一些剩余电极间材料。应该选择蚀刻化学品,使其选择性蚀刻电极间材料而留下电极材料相对未蚀刻。可以被用于蚀刻石英电极间材料而不会蚀刻硅基电极的一个示例化学品是氢氟酸。在某些实施例中可以使用的其他蚀刻化学品包含BHF、BOE、HCL、NHO3、乙酸、KOH、H2N2、NaOH、NH4OH、N2H4、丙酮或者其他酮、二氯甲烷、醇、TMAH和其组合。
在图5D中,电极间材料没有被完全蚀刻掉。相反,电极间材料被蚀刻为支撑形状521。支撑形状521在相邻的电极的组之间延伸,以接触该组的每个电极。当考虑3个维度时,支撑形状521可以是分开单独列(蚀刻范围广),或者形状可以保持结合来形成支撑网络(蚀刻范围不那么广)。图5D中的箭头代表通过电极部件500的开口510的离子轨道。
为了根据期望使电极发挥功能,电极间材料不应该不利地干扰由电极生成的电场/离子轨道。从开口的边缘回蚀刻电极间材料有助于确保电极根据期望发挥功能,加速并聚焦离子而没有电弧或者短路。蚀刻电极间材料可以完成到任何期望的程度。例如,在一些情况下,除了外围设备区域外,电极间材料实质上被整个蚀刻掉。在该实施例中,电极间层具有环形,如图3的面板A所示。在其他实施例中,最小量的电极间材料被蚀刻掉(例如,电极根据期望成形为离子轨道需要的最小量)。
电极间材料被蚀刻的程度依赖于蚀刻的持续时间、蚀刻溶液的强度、以及在电极中形成的开口的相对几何形状。较长的蚀刻和较强的蚀刻溶液导致较大程度的蚀刻,因此导致不那么广的支撑形状。附近开口之间的较小距离类似地导致较大程度的蚀刻,并导致不那么广的支撑形状。存在与电极间材料被蚀刻掉的程度关联的折衷。一方面,蚀刻实质量的电极间材料有助于确保电极间材料不干扰由电极产生的电场/离子轨道。另一方面,蚀刻少量的电极间材料有助于维持由电极间材料提供的结构支撑件。如上所述,当电极不是充分刚性时,额外的电极间材料支撑件能够有助于确保在处理期间电极保持平坦。
在一些实施方式中,可以期望允许电极间材料是略导电的。这允许电极间材料以受控制的方式将电荷放掉。例如,被用于制造电极间材料的玻璃能够通过掺杂有诸如In、Sn、Pb、Sb等的金属而略导电。
图5A-5D的实施例具有若干优点。首先,由于在邻近电极之间存在很多小的电极间材料支撑件,产生的电极部件非常牢固且具有刚性。甚至当非常薄的电极长时间使用时,电极也不太可能弯曲或者弯折。这保证了离子以均匀且可预测的方式通过电极部件。该实施例的另一个优点是:在形成开口期间材料不会捕获在电极层之间。在图2的实施例中,例如,被激光钻孔的电极材料(其可以为粉尘的形式)可能捕获在提取电极209和聚焦电极211之间,或者在聚焦电极211和下电极213之间。在电极部件安装在反应腔室之前,该粉尘可能需要移除。移除可以涉及例如湿式浴(例如在HF、其他酸、醇、酮、去离子水和其组合中)、或者气体冲洗操作。当电极间结构反而实现为电极间材料的固体层时,除了开口侧壁外,没有粉尘被捕获的地点。此外,当电极间材料被回蚀刻时,粘贴至开口的侧壁的任何粉尘被移除。
如上所述,蚀刻的程度不仅被蚀刻的持续时间和蚀刻化学品的强度影响,而且被电极中开口的相对几何形状影响。图6A-6C绘出根据特定实施例的电极和电极部件。在该示例中,省略了某些开口,使得在蚀刻之后形成的电极部件600具有相对小数量的支撑形状621。图6A示出具有布置为六边形图案的开口610的电极609的俯视图。在标注“s”的位置的某些开口被省略。可以选择省略的开口来提供随着时间推移的空间均匀的离子通量。省略的开口可以跨每个电极均匀分布。在一些情况下,衬底的旋转帮助从这样的省略的开口使该效果平均。
图6B呈现包含提取电极609、聚焦电极611和下电极613的电极部件600的剖视图,每个具有如图6A所示的开口图案。在每对相邻的电极之间的是电极间材料层620。图6B示出形成了开口610之后但电极间层620被回蚀刻之前的电极部件。图6B和6C的电极部件600沿着图6A所示的切线612示出。如图6B所示,开口规律呈现的电极609的面积在开口结构630之间生成得相对窄。相反,开口被省略的电极609的面积在开口结构631之间形成得相对更粗。开口间结构630和631每个包含提取电极609、电极间层620、聚焦电极611、另一个电极间层620和下电极613。
图6C示出在电极间层620被回蚀刻之后的电极部件600。在开口610规律呈现并形成开口间结构630相对窄的面积中,电极间层620被完全蚀刻掉。与此相反,在省略了开口并形成开口间结构631相对更粗的面积中,电极间层620不完全移除,且支撑形状621保留。支撑形状621可以在图6A的省略了开口的每个“s”位置形成。
类似于图4所示的气体通路可以包含在图5B-D和图6B-C的电极间层中。气体通路可以通过激光钻孔或者通过机械加工,例如使用用于在电极部件中将开口钻孔相同的方法来形成。气体通路还可以通过在部件之前将槽预机械加工到电极间材料来形成。如果电极间材料实现为结构(例如如图3所示),那么气体通路可以在电极间结构结合于电极来形成电极部件之前形成。在这样的情况下还可能在组装电极部件之后形成气体通路,但是这可能更难。如果电极间材料实现为与电极同时蚀刻的固体层,那么气体通路可以在开口的形成之前或者之后在电极部件接合在一起之后形成。
如上所述,静电键合可以被用于一些实施例,以将电极接合至电极间材料。静电键合也被称为阳极键合和场辅助键合,并且经常被用于将玻璃密封至硅或者金属。简言之,静电键合涉及通过施加热和静电场,将第一材料接合至第二材料。第一材料可以是电极间材料(例如玻璃),并且第二材料可以是电极(例如基硅电极)。玻璃可以被提供为预形成的层或者结构,或者其可以直接(例如通过溅射法、旋涂法、或者气相沉积法)沉积在电极上。术语玻璃和电极间材料在该部分中被互换使用。普通技术人员本领域理解术语玻璃包含很多不同的可能的配方。静电场允许在材料界面创造空间电荷,其在玻璃和硅之间创造强烈的静电吸引。此外,氧被电场从玻璃驱动到玻璃硅界面,其与硅合成来形成SiO2,因此创造强烈的永久键合。
为了执行静电键合,采取4个基本步骤:(1)将玻璃与基硅电极接触,(2)加热玻璃和电极,(3)施加静电场来将玻璃键合至电极,并因而形成玻璃电极堆叠,以及(4)冷却玻璃电极堆叠。键合处理的特征在于键合电压和键合温度。键合电压可以为介于约100–10000V之间,例如为介于约100–1000V之间。键合温度可以为介于约20–700℃之间,例如为介于约100–500℃之间。静电键合能够在大气压力下发生,然而,气体可能在界面被捕获,在键合中做出孔隙。这些孔隙可以通过执行真空环境中的键合来防止。真空环境可以为约10-8Torr和100Tor之间r,例如介于10-5Torr和10- 2Torr之间。
静电键合经常导致具有当经历拉力试验时介于约10-20Mpa之间的强度的键合。该强度高于各种情况下的玻璃的破裂强度。换言之,一旦玻璃被静电地键合,与将玻璃从电极机械分开相比,其可以更简单使玻璃破裂。
当选择电极间/玻璃材料时相关的一个考虑因素是材料的热膨胀系数(CTE)。期望电极间材料具有与电极近似的CTE。当情况如此时,当用于等离子体处理时,两个类型的材料将以类似的方式膨胀并收缩。否则,材料可以非均匀膨胀并收缩,其能够在键合处导入张力/应力。这样的应力能够导致差质量的键合。在一些情况下,电极间材料的CTE可以不同于电极材料的CTE,且不多于约75%,在一些情况下,不多于约15%,在其他情况下,不多于约10%。这样的CTE的匹配帮助保证高质量的键合。一些硼硅酸盐玻璃能够配置成非常接近地匹配硅的热膨胀系数。CTE匹配的玻璃的一些示例是日本东京Hoya公司的HoyaSD-2、或者纽约康宁Corning公司的7740。
影响电极间材料的选择的另一个要素是这样的材料的成分。如上所述,在一些情况下电极间材料可以是玻璃,并且可以使用各种类型的玻璃。在一些情况下,玻璃可以具有相对高含量的碱金属(例如按重量计至少约1%、例如按重量计至少2%或者至少3%)。Pyrex硼硅酸盐是具有约3.5%的含量的氧化钠(Na2O)的一个示例。在玻璃内存在移动金属是有利的。正金属离子(例如Na+)被吸引至负极,并通过向玻璃施加高负电势而被中和。这该允许在玻璃硅电极界面形成空间电荷,其反过来在硅电极和玻璃之间创造强烈的静电吸引。在键合形成期间将材料加热帮助增加正离子的移动性。
可以被用于某些情况的光学敏感类型的玻璃包含SchottGlass公司制造并由加州圣芭芭拉Invenios销售的Foturan感光玻璃(光感结构玻璃陶瓷)。这些材料能够暴露至光,并被优先蚀刻掉(当暴露时)。这能够被用于与其中电极被激光机械加工的激光机械加工组合,而光学敏感玻璃(即电极间结构)从激光或者从将电极堆叠暴露至光而暴露至光(使用机械加工的电极作为对光的屏蔽罩)。一旦暴露时,玻璃被加热,进而,暴露的区域使用一个或多个适当的玻璃蚀刻剂(诸如2-7%稀的HF)(按重量计)被蚀刻掉。使用感光玻璃不需要激光钻孔,激光钻孔对于很多玻璃和熔融二氧化硅是难的。
为了制造例如如图5B所示的电极部件,需要创造4个键合:(1)在提取电极509的下表面和上电极间层520的上表面之间的一个键合,(2)在上电极间层520的下表面和聚焦电极511的上表面之间的一个键合,(3)在聚焦电极的下表面和下电极间层520的上表面之间的一个键合,以及(4)在下电极间层520的下表面和下电极513的上表面之间的一个键合。在一些实施例中,这些键合中的每个单独形成。在其他情况下,同时形成两个或多个键合、例如所有的键合。
图11示出用于形成电极部件的示例处理。电极1102和电极间层1103被清洗并置于真空容器1104内的加热板1101。加热的压力板1100置于电极/电极间材料堆叠,并抽空了真空容器1104中的空气。通过直接接触电极1102或者通过在加热板1101和加热的压力板1100之间施加电压,压力被施加到加热的压力板1100且电压被施加到2个电极1102之间。电压和温度被施加持续给定的时间、或者直至电流密度落入设定值为止。然后能够重复处理来根据期望增加其他电极和电极间材料。
在其他实施例中,电极可以机械固定在一起而不用静电键合。图7A-7C示出接合方法的示例机械。这些图中概述的方法还可以彼此组合。机械接合方法可以对于由耐火材料制成的电极特别有利。一个类型的机械接合方法涉及在电极之间适用附着剂或者玻璃熔块来将电极固定在一起。图7A示出该方法。在这种情况下,电极部件700A包含提取电极709、聚焦电极711、下电极713和附着层730。示例附着剂包含但是不限于玻璃熔块、环氧树脂、或者其他热固性或者热塑性聚合物、共晶键合材料、焊料,并可以包含Pb系玻璃熔块、B系玻璃熔块、B-P系玻璃熔块、环氧树脂、有机硅、聚酰亚胺等。
另一种类型的机械接合方法涉及向每个电极切割出一个或多个引导孔,并通过每个引导孔插入针或者其他结构来将电极对准。图7B示出该方法。此处,电极部件700B包含上述电极709、711和713、以及针736和电极间隔物737。针736嵌合在每个电极中的引导孔735中。针736应该相对地紧密嵌合在引导孔735中,以防止电极709、711和713相对于彼此移动。可以提供电极间隔物737来在相邻的电极之间维持适当距离的分离。电极间隔物737可以是任何形状(例如框、环等),并且应该设置为充分的数量来在钻孔期间将电极维持为平坦的形状。电极间隔物737可以径向设置在针736的内部,如图7B所示,或者如果电极刚性足够则其可以设置在针736的外侧。在一些情况下,引导孔735可以是开口,在处理期间通过该开口离子行进。要注意的是,在电极部件700B放在一起并形成剩余开口之前,仅少数引导孔735需要被钻孔。电极安装在反应腔室之前或者之后,可以移除针736。安装后移除能够有助于确保电极安装得开口完全对准。在一些设计中,针736留在电极部件700B中,并且整个电极部件700B被安装并留在反应腔室中。在这样的情况下,针材料的选择是更重要的(材料应该是绝缘的,以便不同电平的偏置能够施加到每个电极上)。
其他类型的机械对准涉及将第一电极定位(并固定)到由激光干涉仪测量系统控制的精密光学台上,并光学或者机械测量一个或多个开口或者参考标记的位置,该开口或者参考标记蚀刻或机械加工到电极(例如,这样的标记位于期望有开口的位置)中。然后,通过参考第一电极上的对应标记的测量值以光学或者机械的方式测量一个或多个开口的位置或者参考标记,一个电极间材料置于第一电极的顶部并相对第一电极定位。一旦对准至需要的精度,电极间材料被夹紧到第一电极。接下来,第二电极以相同的方式相对于第一电极定位,直至整个电极堆叠被对准并夹紧。在夹紧之后,部件可以进一步使用胶、熔块或者阳极键合来键合。
图7C示出其他类型的机械接合方法。电极部件700C包含如上所述的电极709、711和713。然而,在该示例中电极被托架740分开。托架740可以是任何适当形状的机械对准托架。因此,在这种情况下不需要钻出引导孔。托架可以是任何适当的设计。在图7C的示例中,托架通过围绕每个电极的外围边缘延伸来单独支承每个电极。电极间隔物737可以被用于帮助支承电极,并保证在钻孔期间其保持平坦。可以使用任何数量的托架。例如,在一些示例中可以使用单个的托架。当情况如此时,托架可以围绕周边的实质部分(例如整个周边、或者整个周边的至少约90%、或者至少约95%)延伸。托架可以是柔性的,或者可以包含允许电极置于托架内的接合件。在其他情况下,可以使用两个或两个以上的托架。托架可以相对小(例如,每个托架在一个窄角点处支承电极)或者相对大(例如,每个托架围绕周边延伸一定程度,以在更宽角位置处(使用2个托架时每个托架高达约180°)支承电极)。总体而言,托架可以在其周边处全部或者部分支承电极。当使用多个托架时,托架可以嵌合/卡合/或者以其他方式固定在一起,以对电极部件700C提供额外的机械稳定性。托架740可以在安装在反应腔室中之前或者期间移除,或者在安装和处理期间其可以保持连接至电极。正如图7B的针736,如果在操作期间保持为安装,那么托架应该是绝缘的。此外,如果在处理期间托架存在并且成形来支承电极的整个周边,那么托架可以包含允许电连接至每个电极(用于对每个电极施加偏置)的直通孔。
另一个类型的机械接合方法涉及使用夹子,如图7D所示。此处,电极709、711和713彼此直接夹着(尽管额外的间隔物可以被用于将电极分开,如果需要的话)。提供夹子745来将电极彼此固定。可以使用任何数量的夹子745,更多数量的夹子提供额外的机械安全性。为了清楚起见,图7D仅示出单个夹子745。
还可以修改图7B-7C的实施例,使得电极709、711和713直接彼此接触,在开口形成期间其间没有任何间隔物。当形成开口时不必在电极之间维持空间。另一方面,在处理期间需要这样的空间。这样,当情况如此时,在形成了开口之后电极应该单独分开并安装。该实施例仍然与已有技术有变化,因为开口在单个统一处理中并以极其对准的方式同时形成在每个电极中。在开口形成后电极单独安装的任何实施方式中,开口可以通过激光或者通过其他光学方法来对准。
不管用什么方法将电极接合到电极部件,在这样的部件接合在一起之后可以形成开口。在一些情况下,开口可以通过激光钻孔来形成。可以通过从聚焦的激光束来吸收能量,通过工件材料(即电极)的熔融和/或汽化来进行激光钻孔。在一些情况下,使用高功率工业激光,诸如CO2激光、UV激光或者DPSS激光。
在某些实施例中,全功率的激光钻孔导致不可接受的温度增加、电极间材料破裂、或者过多的孔锥。为了解决该问题并允许冷却,在钻孔期间激光可以被脉冲。脉冲可以减小加热的程度,因此改善钻孔结果。由激光钻孔输送的示例峰值功率电平可以介于约50-5000μJ/脉冲之间,例如介于约200-500μJ/脉冲之间的范围。当激光被脉冲时,这样的脉冲的频率可以为介于约25-500kHz之间,例如介于约20-200kHz之间。每个脉冲的持续时间可以为介于约1-50μs之间,例如介于约5–20μs之间。本领域的普通技术人员将理解:激光的选择和电极的选择以及电极间材料将影响最佳功率电平、脉冲频率、脉冲持续时间、占空比等。这样,公开的参数仅仅为了指导而提供,并且不意图是穷尽的或者限制性的。
激光钻孔SiO2系电极间材料(例如熔融二氧化硅、或者硼硅酸玻璃等)具有键合的电极堆叠能够克服的若干问题。首先,通常由于在钻孔处理期间的声激波,激光钻孔的出口孔会在下侧有碎屑和断裂(breakout)。由于所有的电极间材料在键合的堆叠中的电极材料之间被键合,因此能够防止碎屑和断裂。其次,由于厚SiO2系材料的热量积聚,可能发生微开裂。由于电极间材料被热键合至导电电极,提供散热通路来最小化或防止微开裂。
根据电极部件的配置,开口形成处理可以涉及通过电极材料单独钻孔,或者通过电极材料和电极间材料二者钻孔。如果电极间材料被成形并定位,以使其不与开口重叠(如图2所示),那么开口形成处理将仅要求通过电极材料来钻孔。另一方面,当电极间材料与所期望的开口位置重叠(如图5B-5D和6A-6C所示)时,开口形成处理将要求通过电极材料和电极间材料这二者来钻孔。
在一些情况下,在激光钻孔处理期间变化某些参数,导致随着钻孔处理的进行,不同材料被蚀刻。例如,通过改变激光的功率、占空比、波长、脉冲频率等,激光钻孔处理能够针对部件中的每种材料进行优化。可以做出这些改变来适应电极部件中的不同材料的各种激光吸收率、反射率、消融温度、以及热传导性能。此外,如上所述,在一些情况下,不同类型的处理被用于通过电极来钻孔与通过电极间材料来钻孔(例如,当电极间材料是光敏感玻璃时)。
材料中激光钻孔的孔经常具有锥形,典型地在激光入口侧更大,并在激光出口侧更小。该锥通常在约2-10度之间。具有在等离子体源侧更小且在下游(即面对衬底侧)更大的孔在电极堆叠中可能是有利的。该锥减小了随着其通过电极堆叠行进而从电极和电极间材料散开的离子的量。因此,可能有利的是电极堆叠从堆叠的离子出口侧(即电极部件的面对衬底侧)被激光钻孔。通过从该侧激光钻孔,能够完成期望的锥形。
在某些实施例中,电极堆叠中的开口从堆叠的离子入口侧开始逐步变大。电极堆叠中的孔直径可以在每个接下来电极处(从离子入口侧开始)增加0-30%。例如在每个电极处相对于之前的电极,直径可以增加5-15%。适当的开口图案和尺寸如上所述。
在某些实施例中,开口由光刻工艺形成,随后是蚀刻处理。由于现代光刻工艺能够精确控制图案的尺寸和位置,开口对准误差可以显著减小。基于激光的直接写入光刻系统(诸如德国海德堡InstrumentsMikrotechnikGmbH的DWL-400)能够将开口图案定位在350nm内或者更佳,并能够生成比120nm更精确的开口孔尺寸。这比能够由机械钻孔实现的精度好500-1000倍。其他光学光刻系统(诸如扫描器和分步重复系统)能够生成类似的或者更好的性能。一旦光刻图案被暴露并显影,这些图案然后能够被分开蚀刻至电极和电极间材料内。由于开口位置精度非常精确,因此跨所有电极对准电极堆叠中的所有开口是可能的。
中空阴极发射极
本文的任何实施例可以修改为包含额外的电极,其可以是中空阴极发射极电极。在某些实施例中,在提取电极上方提供中空阴极发射极电极,以在提取电极上创造大量高密度离子源。中空阴极发射极可以以与提取电极在聚焦电极正上方相同的方式(例如没有其他介入结构,除了本文说明的可选的电极间材料),在提取电极正上方。实际上,中空阴极发射极电极中的每个开口作为中空阴极发射极动作,因而提供大量局部高密度离子源。在其他实施例中,中空阴极发射极可以整合到提取电极其自身内。中空阴极发射极电极中的开口被设计或者配置为对准其他电极中的开口。因此,中空阴极发射极电极提高了活性离子产生的效率,随着中空阴极发射极中产生的离子的实质上大部分通过电极成功转移至晶片。这允许以更低的能级产生高密度离子。比较而言,当使用ICP等离子体源或者其他全晶片(即非局部)等离子体源时,被用于产生离子的大量能量可能实际上被浪费,因为很多产生的离子会撞击电极的上表面。因此,这些离子不通过电极行进,并且不与晶片相互作用。
中空阴极典型地包含在内表面具有发射极材料的导电管/缸。在中空阴极发射极电极的环境下,导电管/缸是开口。发射极材料优选的是具有低功函数,这允许材料具有高二次电子产额。示例发射极材料包含但是不限于硅、钨、钼、铼、锇、钽、铝、钛、和钍钨。发射极还可以涂有材料来增强二次电子产额,或者对溅射法防止腐蚀。该涂料可以是蒸汽沉积的、喷涂的、电镀的、无电镀的、化学蒸汽沉积的、等离子体增强化学蒸汽沉积的、刷涂的、旋涂的等。另外,电极材料可以被阳极氧化。典型地,电极仅含有单个材料;换言之,发射极材料是电极材料。中空阴极发射极电极的整个形状(例如厚度、直径)可以实质上与其他电极的形状相同。在蚀刻期间,气体和/或等离子体可以在中空阴极发射极电极的上游馈送/产生。当等离子体从中空阴极发射极电极的上游产生时,这样的等离子体可以是电感耦合的等离子体、电容耦合的等离子体、变压器耦接的等离子体、微波等离子体等。等离子体可以远程产生或者在中空阴极发射极电极上的反应腔室中产生。中空阴极发射极电极可以被RF偏压,例如介于约50–5000W之间,假定存在单个的300mm衬底。随着气体通过中空阴极发射极电极行进,发射的电子将每个开口中的气体离子化。下文进一步相对于图9讨论离子化机构。
在某些实施例中,中空阴极发射极电极的开口被配置为具有促进高密度离子形成的形状。实现该目的的一个示例形状是截锥形开口。诸如倒椎、圆顶、倒金字塔等的其他形状也可以被用于促进离子形成。通常,与底部相比顶部更宽的开口形状尤其有用。
在某些实施例中,期望具有的气体压力在中空阴极发射极上游比下游更高。为了能跨发射极具有压力降,通过发射极孔的气体传导应该是低的。在一些情况下,通过电极堆叠的气体传导可以在约10000L/min之下。例如气体传导可以介于约50–1000L/min之间。例如,约1托压力差(中空阴极发射极上方和下方)能够通过变窄开口的最小直径(图8中的尺寸d2)来实现。例如,当气体以约1SLM流率流动时,具有0.5mm的d2直径以及1mm的d3长度的1000个开口的阵列将具有约800L/min的气体传导,并将经历约1托的压力降。
在通过电极部件的气体传导减小的实施例中,可以使用气体旁路通路。该气体旁路通路将被打开,以在例如整个部件的初始抽空期间,防止跨电极部件过多的压力差。在操作期间,如果压力差是期望的,那么该气体旁路能够关闭。
图8呈现具有截锥形成形开口814的中空阴极发射极电极854的特写剖视图。每个开口814在中空阴极发射极电极854的上表面818具有第一直径d1,并在中空阴极发射极电极854的下表面820(或者晶片侧)具有第二直径d2。第一直径d1大于第二直径d2。在一些情况下,第一直径d1为介于约1mm–20cm之间。第二直径d2可以为介于约0.1mm–10cm之间。第一直径对第二直径的比率(d1/d2)可以为介于约1.2–10之间。可见,开口814的上侧的形状通常为截锥形,以90°倒角向内成锥形,直至其内径等于d2。倒角角度被测量为如图8所示。在其他实施例中,锥形段具有不同倒角角度,例如为介于约45–120°之间。截锥形段在中空阴极发射极电极854的厚度的大致中途与圆筒段相遇,因此,开口814的特征可以为具有截锥形段817和圆筒段819这两者。开口814的圆筒段819具有由图8中d3所表征的高度。在一些情况下,圆筒段819的高度为介于约0.2mm–2cm之间。在这些或者其他情况下,截锥形段817的高度介于约0.5mm–2cm之间。当示出在具有截锥形段和圆筒段的开口的环境下时,本文的开口直径和高度还可以适用到不同但类似形状的开口。
图9示出通过中空阴极发射极电极954的经倒角的开口914的微喷射、低能量离子产生区域。作为中空阴极发射极电极954上方的主要的等离子体放电的结果,在开口914中生成了局部鞘922,因而导致电场。主要等离子体放电可以来自中空阴极发射极电极954的任何适当的等离子体源上游。示例等离子体源包含电感耦合的等离子体源、电容耦合的等离子体源、微波等离子体源、远程等离子体源等。
来自主要放电的电子和离子进入开口914并生成电流路径(由虚线箭头923表示),该电流路径通过每个开口并且到中空阴极发射极电极954的下表面920。随着电流线(箭头923)接近开口914收敛,电流密度增加,使得在开口形成更密的等离子体,因而形成具有狭窄等离子体鞘的等离子体喷射。微喷射的增加的等离子体密度还可以增加中性温度,其减小开口中中性粒子的密度。这些效果的组合可以增加电子温度,并改变微喷射中放电的化学品。此外,离子也被鞘加速并撞击开口914的内表面924,因而,排出二次电子。与高等离子体密度的等离子体关联的更窄的鞘允许电子跨鞘922加速,几乎没有碰撞,导致在微喷射中创造高能电子。二次电子获得足够的能量,以便碰撞到中性气体分子,因而将其离子化,并通过开口创造微喷射成形的电荷926。
在一些情况下,主要等离子体放电可以省略。换言之,中空阴极发射极电极可以是等离子体/离子的唯一源。在这些实施例中,作为施加到中空阴极发射极电极的RF偏压的结果,产生了对于离子形成开始串联的初始高能量电子。高电压梯度和/或长开口帮助促进微喷射的形成。当高能量电子也从中空阴极发射极电极的主要等离子体上游提供时,这些考虑因素不那么重要。当超出中空阴极发射极电极不包含分开的等离子体源时,中空阴极发射极电极上的RF偏压可以介于约500–10000W之间。当包含分开的等离子体源时,偏压可以不那么广。
微喷射放电926是最终撞击晶片的离子的主要源。此外,还实证地确定了开口914的低纵横比(直径d2除以高度d3)会增强微喷射放电。然而,如果开口914的高度(即,中空阴极发射极电极954的厚度)太小,那么消除了用于冷却电极的容量。另一方面,如果开口的直径太大以降低纵横比,那么减小了在均匀分散等离子体放电中的中空阴极发射极电极的有效性。相应地,可以配置本实施例的开口914,以提供用于有效产生并传输富含离子的等离子体的更低的纵横比,通过开口到晶片,而仍然允许有效的电极冷却。
需要在其中产生可靠的微喷射的开口914的尺寸和纵横比是处理条件的函数,包含的参数诸如有等离子体功率、压力、气体成分等。在该处理中,要求对开口914中的微喷射的“光照”来实现均匀处理。说明的处理实现了均匀且可靠的微喷射的光照,以生成这样的均匀处理。这不同于诸如栅格之类的其他应用,其中,用于屏蔽或者产生/调制电场或者电磁场的穿孔板具有的孔不可靠地形成微喷射放电。类似地,本发明的实施例不同于其他已有技术,已有技术中具有孔的穿孔板被用作电子或者离子透镜,其中,等离子体流过板中的开口而不形成微喷射放电。
使用中空阴极发射极电极允许使用相对低电压/取出场的高密度离子取出。这可以帮助减少来自高能量离子所带来的晶片上的损伤。当使用中空阴极发射极时,其可以被RF偏压介于约100–10000W之间。在这样的情况下,提取电极可以相对于下电极被偏压介于约20–10000V之间,聚焦电极可以被提取电极和下电极之间的中间电势、或者高于提取电极的电势偏压。下电极可以相对于晶片的电平接地或者偏压,例如相对于衬底为介于约0至负1000V之间。所安装的取出/聚焦/下电极之间的电势梯度可以为介于约0–5000V/cm之间。
反射器
本文的任何实施例可以修改为包含下电极下方的成组的反射器。反射器可以被用于中和离子束,而不使用昂贵的中和枪。尤其是,冲击反射器表面的离子拾取电子以变成中性粒子。此外,反射器可以捕获溅射的材料,这些溅射的材料可以以相对低的动能能量行进并粘附至反射器。在一些情况下,反射器由诸如如下材料制成:简并掺杂硅、金属箔或者金属板(例如硅、钨、钼、铼、锇、钽、铝、钛、或者钍钨)。
图10示出用于执行离子束蚀刻的反应腔室1000。在该示例中,使用4个电极,包含中空阴极发射极电极1054、提取电极1009、聚焦电极1011和下电极1013。如图10所示,中空阴极发射极电极1054中的开口1010可以具有如上所述的截锥形或者其他形状。下电极1013下方附接了成组的反射器1020。反射器1020相对于电极1013的表面法线可以具有约0.5-20°的角α。反射器的长度1025可以充分长,以从通过开口孔到衬底上的视线投影关闭开口。因此,长度1025可以大于或者等于除以α的正弦的电极1013中开口的直径。相邻的反射器之间的间距可以等于相邻的开口之间的间距。反射器彼此平行定位,使得其均匀更改离子轨道。因为反射器1020随着其进入衬底处理区域1015改变离子/粒子的轨道,所以离开反射器1020的粒子不向下直行行进。如果期望粒子以法线角(即90°)冲击晶片1001,那么晶片1001可以倾斜来容纳粒子的有角度的轨道。可以通过控制衬底支撑底座1003来完成倾斜。在一些情况下,在蚀刻期间晶片可以倾斜且可以不倾斜至各种程度,以根据需要引导离子/粒子。在其他情况下,电极部件可以相对于衬底倾斜。倾斜可以帮助例如在特征侧壁处实现好的蚀刻结果。不管是否使用反射器1020都可能发生这样的倾斜。
图10的其他特征类似于图1所示的。例如,通过ICP等离子体源1007来产生等离子体。等离子体在主要等离子体产生区域1005中产生。
在一些情况下,反射器可以预形成并附接至电极部件。反射器形成处理可以涉及将硅或者金属片成形为期望的形状。替代地或者此外,反射器形成处理可以涉及在材料的板中生成开口。开口可以通过下述激光切割或者通过电解槽/金属球处理来形成。
在特定实施例中,反射器可以与在电极部件中形成开口同时或者紧随其后形成。在一些情况下,金属球(例如钼或者金球)可以被用于形成开口。反射器前体层可以设置在下电极下方。在一些情况下,反射器前体层是硅(例如简并掺杂硅),其可以静电地键合至下电极。反射器前体层可以是材料的固体层,没有任何开口或者其他通路。一旦整个电极部件组装有附接的反射器前体层,部件就可以置于电解槽中。电极可以其中已经具有钻孔的开口,或者电极开口可以形成在电解槽中。槽液例如可以包含KOH、KOH+IPA、乙二胺、乙二胺+邻苯二酚、肼、氢氟酸、H2O2、或者其组合。金属球可以置于期望有开口的部件的位置。在一些情况下,最上方的电极(例如中空阴极发射极或者提取电极)包含预钻孔的凹陷或者孔,这些凹陷或者孔被设计为将金属球保持/固定在期望有开口的位置处。在一个实施例中,选择金属球以对硅具有催化反应。例如,硅在存在银的HF+H2O2+H2O溶液中蚀刻。将银球置于表面并将电极堆叠浸在蚀刻剂溶液中将仅蚀刻球接触硅表面部分的硅。其他已知的金属催化剂包含金和铂。然后可以施加电场以使金属球切通电极部件。开口可以单独地(例如一次使用一个金属球)、成群/波地、或者一次全部地形成。
重力可以在该处理中发挥作用,使金属球在直接朝向地心的方向切通电极部件材料。当金属球到达下电极和反射器前体层之间的界面时,整个电极部件可能倾斜。倾斜还可以在到达该界面(例如,当球约通过材料中途以上时)略微之前或者之后发生。金属球继续在向下方向下降,将非常直的开口切入反射器前体层。反射器前体层中的开口对准电极中的开口。然而,由于在下电极和反射器层之间的界面(或者附近)创造的角,没有通过电极和反射器层的直接视线。
金属球被用于创造可以具有介于约1mm–5cm之间的直径的开口。在一些情况下,多步骤处理被用于创造开口。第一步骤可以涉及创造具有金属球的小开口,如上所述(无论是否同时形成反射器)。第二步骤可以涉及例如通过激光钻孔、金刚石钻头钻孔、或者其他机械加工方法,扩大第一步骤中创造的开口。第二步骤可以从电极部件任一侧(例如从顶部和/或底部)执行。应该注意来保证第二步骤不会在下电极和反射器层(如果有)之间的界面过度移除材料。
如上所述,当电极部件不包含任何反射器时,也可以使用金属球开口形成处理。此外,金属球开口形成处理可以被用于在仍未附接至电极部件的反射器中形成开口。
腔室衬套
在某些蚀刻操作中出现的一个问题是在蚀刻期间不期望的粒子沉积在衬底上。例如由于暴露至等离子体,因此粒子可能从内部反应腔室表面溅射出。粒子然后降到衬底的表面,其可能在此处导致缺陷。
为了解决该问题,本文说明的任何实施例可以修改为包含抗溅射的腔室衬垫。腔室衬垫有助于将溅射最小化,并且因而将在蚀刻期间在衬底上的不希望的粒子的沉积最小化。在某些情况下,腔室衬垫可以是可移除的。
用于腔室衬垫的材料应该是在用于反应腔室的典型反应条件下耐溅射的。腔室衬垫对于使用的离子和离子能量可以具有≤0.2的溅射产额。示例材料包含碳、硅、钛、钼、钨和钽。
衬垫可以被配置为易于移除来清洗或者更换。
衬垫可以覆盖腔室壁和地板。在一些情况下,衬垫被设计为留出某些表面暴露。这些非暴露的表面可以包含衬底、电极、视口、检测器窗、现场检测器、电荷中和头等。衬垫可以被设计为依据各种内部反应腔室表面的轮廓。在某些情况下,腔室衬垫可以具有的厚度为介于约1mm–3cm之间,例如为介于约2mm–2cm之间。
在蚀刻期间的衬底旋转
在各种实施例中,可以有利的是在蚀刻期间旋转和/或倾斜晶片。晶片旋转能够帮助在整个衬底的面使蚀刻结果平均,因而促进晶片内均匀。晶片旋转可以由衬底位于其上的旋转支撑件实现。该支撑件有时被称为底座、吸盘、静电卡盘、衬底夹具等。在蚀刻期间倾斜晶片能够有利于控制蚀刻轮廓,尤其是在蚀刻的特征的侧壁处。倾斜类似地通过倾斜衬底支撑件来完成。在一些情况下,完成倾斜,使得离子/粒子以从法线角约25°以下(例如,约5°以下)的角度冲击衬底。在其他情况下,倾斜可以是45°以下。在其他情况下,倾斜可以更广,例如从衬底法线角约85°以下。
衬底支撑件典型地含有各种电和流体连接。这些连接可以向衬底支撑件提供功率、冷却流体等。功率和冷却流体可以源于反应腔室内的位置(这样的位置从衬底支撑件移除),或者源于反应腔室外的位置。电和流体连接使衬底旋转和倾斜更难。例如,连接至衬底支撑件的导线可以在蚀刻处理期间随着衬底旋转而围绕衬底支撑件缠绕(例如在一些情况下,围绕支撑件的主干或者在其内)。该缠绕会迅速地防止衬底的进一步旋转。在某些情况下可以提供专门的密封,以允许连接与衬底支撑件一起旋转。这些密封容纳连接并防止其变成围绕衬底支撑件缠结。然而,这些密封(有时被称为旋转真空密封)易受泄漏,使其难以在反应腔室内维持期望的低压。密封要求定期保养来处理泄漏问题。这样,需要一种在蚀刻期间旋转衬底的改进的方法,以容纳针对衬底支撑件的电和流体连接。
在某些实施方式中,衬底旋转可以在多步骤循环处理中完成。处理的第一步骤涉及在第一方向(例如顺时针)旋转衬底,并且第二步骤涉及在与第一方向相反的第二方向(例如逆时针)旋转衬底。通过重复这两个步骤并在每个方向规律旋转衬底,电和流体连接能够围绕衬底支撑件缠绕并解开至可管理的程度。在对衬底支撑件的每个连接中可以提供适当和合理量的松弛。例如,电和流体连接可以提供有充分的松弛以允许衬底在任一方向旋转特定量。
本文使用的衬底支撑件的旋转配置是从中心测量点测量的,并且根据旋转的方向表现为正值和负值(顺时针旋转是正的)。被配置为从中心测量点旋转±n度的衬底支撑件是总体在任一方向旋转2n度。由于旋转是从中心测量点测量并且衬底能够从该测量点在任一方向旋转的事实,而导入因子2。例如,当考虑运动的全范围(即,从一个旋转极端到另一个)时,能够旋转±180°的衬底支撑件能够实际上在任一方向旋转整圈(360°)。给出下面的旋转模式来进一步澄清旋转能力如何测量并在本文中说明。在该示例中,衬底在开始位置开始,并旋转顺时针+180°,然后逆时针-360度,然后顺时针+360°,然后逆时针-360°等。在每个360°旋转中,第一个180°有效抵消了先前的旋转,并且第二个180°在新的方向继续旋转衬底。在该示例中,衬底的开始位置对应于0°的旋转。当然,衬底还可以从其他位置开始处理。在一个示例中,衬底在对应于-180°的旋转的开始位置开始,然后旋转顺时针+360°,逆时针-360°,顺时针+360°等。在该示例,仅使用整圈。该示例和先前的示例这两者对应于被配置为旋转±180°的衬底支撑件。
在某些实施例中,衬底支撑件被设计或者配置为旋转约±180°和±215°,在另一个情况下,衬底支撑件可以设计或者配置为旋转约±180°。可以选择旋转的角的程度,以允许多方向离子轰击,而不会损害连接至衬底支撑件的电和流体线。可以基于使用的电和流体连接的长度和灵活性,选择旋转的角的程度。更长且更柔性的连接允许更广的旋转。当使用更广的旋转时,可以管理额外的连接长度,如下所述。
公开了相对于设计或者配置成根据所述旋转的衬底支撑件的这些角的范围,但本领域的普通技术人员理解,各种其他组件(例如电和流体连接、用于旋转衬底支撑件的马达等)是衬底支撑件的配置的部分。换言之,衬底支撑件、针对衬底支撑件的电和流体连接和任何相关结构可以一起设计或者配置为允许上述所公开的旋转。控制器可以被用于控制衬底支撑件和衬底的旋转。控制器可以具有指令,根据本文公开的任何角的范围或者模式来旋转衬底。
为了控制旋转角,可以使用索引系统。这样的系统可以在衬底指定/限定不同的角位置(例如0°、1°……359°),并根据这些位置来追踪衬底的旋转。在一些情况下,旋转可以由光学装置追踪。例如,衬底保持器可以具有能够随着衬底旋转由光学系统追踪的多个标记(例如,360个标记,每个分开1°,但也可以使用任何适当数量的标记)。在一些情况下,可以使用光学编码器。在其他情况下,步进马达被用于旋转该衬底。步进马达可以如本文说明的那样旋转衬底,随着时间仔细控制衬底的角的旋转。步进马达将可以全旋转分为多个均等的步骤(例如,360个步骤,每个分开1°或1°以下,但也可以使用任何适当数量的步骤)。能够控制马达的位置,并且因此能够控制衬底保持器和衬底的角的位置,以在指定的步骤之间移动,而不需要任何反馈传感器。还可以使用其他旋转索引系统,只要其允许以相关旋转速度精确地追踪的角的/旋转的位置。角位置追踪可以特别有利于诸如MRAM和STT-RAM的应用。例如,在MRAM和STT-RAM情况下,磁矩中存在轴依属性。这样的依属性使得角的控制相当有利。在一些诸如3D设备的情况下,可以有利的是在180°步骤中旋转衬底,或者在一些情况下在90°步骤中旋转衬底,或者在其他情况下在45°步骤中旋转衬底,并且在其他情况下在30°步骤中旋转衬底。
电和流体线可以在各种位置处与衬底支撑件连接。当线更接近地接触衬底支撑件的中心时,线中需要更少的松弛来容纳支撑件的旋转。在一些情况下,电和流体线在衬底支撑件的中心主干内部或者实质上的内部向上传递。该配置可以有利于使与具有在蚀刻期间围绕处理腔室移动的导线和其他连接相关的任何影响最小化。反而,电和流体连接线的移动受限于衬底支撑件的主干的内部区域。连接线应该具有充分的柔性来容纳移动。
在某些情况下,在蚀刻期间衬底的简单往返旋转会对于蚀刻的特征导致差的蚀刻轮廓。差的轮廓可能起因于离子方向性以及特征随着衬底往返旋转而被略不均匀冲击的事实。当离子/粒子以非法线角指向衬底时,该问题尤其是相关的。例如,在非通常入射离子束被用于重复+360°、-360°旋转模式的环境下,在2个接下来的部分旋转(即,在切换旋转方向之前立即部分顺时针旋转、以及在切换旋转方向之后立即部分逆时针旋转)期间,在特征的相反侧暴露至非通常离子束之前,特征的第一侧可以从离子束的方向蚀刻。特征的第一侧的该“双暴露”可能不会被在接下来的旋转中发生的晶片相反侧的“双暴露”精确平衡。可能由于各种原因而发生不匹配,原因例如包含改变特征形状(例如,由于蚀刻以及蚀刻副产品的重新沉积)。在一些情况下,用于垂直形状的作为结果的蚀刻轮廓可能弯折或者成C形。
为了解决这些蚀刻轮廓问题,在蚀刻期间旋转的速率可以变化。在一个示例中,衬底在一个方向(例如顺时针)慢速旋转,并在相反方向(例如逆时针)快速旋转。示例旋转模式可以是:+360°(慢速顺时针)、-360°(快速逆时针)、+360°(慢速顺时针)、-360°(快速逆时针)等。在另一个示例中,衬底在一个方向在旋转的初始部分期间慢速旋转,在该方向在最终部分期间快速旋转(或者反之亦然)。例如,衬底可以根据下面的旋转模式来旋转:+180°(慢速顺时针)、-180°(快速逆时针)、-180°(慢速逆时针)、+180°(快速顺时针)等(从第一步骤重复)。慢速旋转速率的示例可以为介于约1-10RPM之间。快速旋转速率的示例可以为介于约10–500RPM之间。快速旋转速率可以比慢速旋转速率快至少约5倍。
在其他实施例中,系统可以设计或者配置为在蚀刻处理的某些部分期间,例如在衬底在特定方向旋转的期间,或者在每个单独旋转的某些部分期间(例如第一半或者第二半),使离子/粒子通量最小化或停止。一个示例旋转模式可以是:+180°顺时针(有离子/粒子通量冲击晶片)、-180°逆时针(没有离子/粒子通量冲击晶片)、-180°逆时针(有离子/粒子通量冲击晶片)、+180°顺时针(没有离子/粒子通量冲击晶片等(从第一步骤重复)。闸板可以被用于控制输送至晶片的通量。替代地或者此外,等离子体可以根据需要点燃并熄灭,以当期望时向晶片提供离子/粒子通量。替代地,针对一个或一个以上电极的电压可以快速切换为不同电压,阻断离子到达衬底。
系统控制器
在一些实施方式中,控制器是系统的一部分,系统可以是上述示例的部分。这样的系统能够包括半导体处理装置,半导体处理装置包含用于处理的一个或多个处理工具、一个或多个腔室、一个或多个平台、和/或特定处理组件(晶片底座、气流系统等)。这些系统可以集成在电子设备中,电子设备用于半导体晶片或者衬底的处理之前、之间以及之后控制其操作。电子设备可以称作“控制器”,其可以控制一个或多个系统的各种组件或者子部件。根据系统的处理要求和/或类型,控制器可以被编程为控制本文公开的任何处理,包含处理气体的输送、温度设定(例如加热和/或冷却)、压力设定、真空设定、功率设定、射频(RF)产生器设定、RF匹配电路设定、频率设定、流率设定、流体输送设定、位置和操作设定、晶片传输到工具和从工具传输、以及连接至或者对接于特定系统的其他传输工具和/或负载锁。
大致而言,控制器可以被定义为具有各种集成电路,逻辑,存储器和/或接收指令、发出指令、控制操作、启用清洗操作、启用端点测量等的软件的电子设备。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为特定应用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或者执行程序指令(例如软件)的微控制器。程序指令可以是通信到控制器的指令,形式为各种单独设定(或者程序文件),定义用于进行在或者对半导体晶片或者对系统的特定处理的可操作的参数。在一些实施例中,可操作的参数可以是由处理工程师定义的配方的部分,以在制造一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯期间完成一个或多个的处理步骤。
在一些实施方式中,控制器可以是计算机的一部分或者耦接至计算机,该计算机集成在、耦接至系统、或者网络连接至系统或者其组合。例如,控制器可以在“云”中,或者是所有或者部分的FAB主机计算机系统,其能够允许远程访问晶片处理。计算机可以启用远程接入系统来监控制造操作的当前进展,检查过去制造操作的历史,根据多个制造操作来检查趋势或者性能度量,来改变当前处理的参数,设定处理步骤来跟随当前处理,或者开始新的处理。在一些示例中,远程计算机(例如服务器)能够通过网络向系统提供处理配方,网络可以包含本地网络或者互联网。远程计算机可以包含启用参数和/或设定的输入或者编程的用户界面,其然后从远程计算机通信到系统。在一些示例中,控制器接收数据形式的指令,其指明了在一个或多个操作期间执行的每个处理步骤的参数。应该理解的是,参数可以对于要执行的处理的类型、以及控制器被配置为对接或者控制的工具的类型是特定的。因此如上所述,控制器可以是分布式的,诸如通过包括用网络在一起并朝向共同的目标工作的一个或多个离散控制器,诸如本文说明的处理和控制。用于这样的目的的分布式控制器的示例是腔室中的一个或多个集成电路,与远程定位(诸如在平台等级或者作为远程计算机的部分)的一个或多个集成电路通信,该集成电路组合来控制腔室上的处理。
并非限制,示例系统可以包含等离子体蚀刻室或者模块、沉积腔室或者模块、旋转冲洗腔室或者模块、金属镀覆腔室或者模块、清洗腔室或者模块、斜边蚀刻室或者模块、物理气相沉积(PVD)腔室或者模块、化学气相沉积(CVD)腔室或者模块、原子层沉积(ALD)腔室或者模块、原子层蚀刻(ALE)腔室或者模块、离子注入腔室或者模块、追踪腔室或者模块、以及可以关联或者用于制造和/或生产半导体晶片的任何其他半导体处理系统。
如上所述,根据由工具执行的一个或多个处理步骤,控制器能与一个或多个其他工具电路或者模块、其他工具组件、集群工具、其他工具界面、相邻工具、邻近工具、遍及工厂定位的工具、主要计算机、另一个控制器、或者被用于材料传输的工具通信,该材料传输的工具将晶片的容器输送来往于半导体制造工厂中的工具位置和/或加载口。
用于执行公开的实施例的装置经常包含系统控制器,该系统控制器具有编程来控制蚀刻处理的编程。控制器可以执行存储在海量存储设备中,载入存储器设备并在处理器上执行的系统控制软件。在一些情况下,软件可以通过网络传输。各种处理工具组件子程序或者控制对象可以被写入以控制需要进行各种处理工具处理的处理工具组件的操作。系统控制软件可以以任何适当的计算机可读编程语言编码。在一些实施例中,系统控制软件可以包含用于控制上述各种参数的输入/输出控制(IOC)序列指令。系统控制器还可以关联于其他计算机软件和/或程序,其可以被存储在与控制器关联的海量存储设备或者存储器设备上。用于该目的的程序或者程序的部分的示例包含衬底定位程序、等离子体气体控制程序、反应气体控制程序、压力控制程序、温度控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的代码,被用于装载并卸下衬底到衬底支撑件上。等离子体气体控制程序可以包含如下代码:控制被用于产生从其取出离子的等离子体的气体的成分和流率。反应气体控制程序可以包含如下代码:控制成分、输送其他反应气体的流率和压力。压力控制程序可以包含如下代码:控制输送单独反应物的压力、移除反应物的压力、以及维持衬底处理区域的压力。温度控制程序可以包含如下代码:在特定温度控制被用于维持衬底的加热和/或冷却装置、衬底支撑件和/或衬底处理区域。等离子体控制程序可以包含如下代码:以某些功率和频率产生等离子体。
系统控制软件可以包含如下指令:用于以本文公开的流率和/或压力来输送反应物。这样的指令可以涉及输送被用于产生等离子体的气体(从其取出离子),或者其可以涉及输送分开提供的一个或多个气体(即,不被用于产生等离子体)。
系统控制软件还可以包含如下指令:用于以某些压力来维持衬底处理区域。系统控制软件还典型地包含控制蚀刻处理的定时的指令。在很多情况下,控制器还控制施加到每个电极的偏置。这样,系统控制软件可以包含如下指令:施加第一偏置至提取电极,施加第二偏压至聚焦电极,施加第三偏压(或者接地条件)至下电极和衬底/衬底支撑件并施加第四偏压至中空阴极发射器电极。在一些实施例中,指令还包含通过加热或者冷却以特定温度维持衬底和/或衬底处理区域。
当闸板被用于调制离子通量时,系统控制软件可以包含指令来通过以期望的次数打开和关闭闸板来调制离子(例如,在旋转模式的特定部分期间,如上所述)。在特定实施例中,软件包含仅当衬底在特定方向或者以特定速度旋转时,打开闸板的指令(因而允许离子撞击晶片表面)。
相对于等离子体产生,系统控制软件可以包含以特定流率、温度、处和/或压力提供等离子体产生气体的指令。指令还可以涉及被用于产生等离子体的功率量(例如RF功率)、以及输送这样的功率的频率。
在一些实施例中,用户界面可以与系统控制器关联,用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及用户输入设备诸如指向设备、键盘、触摸屏、麦克风等。
在很多实施例中,系统控制器被用于调节其他处理参数。这样的参数可以包含但是不限于反应气体成分、流率和压力、等离子体产生气体成分、流率和压力、衬底处理区域中的压力、施加到单独电极的偏压、温度、等离子体条件(例如频率和功率)、晶片的位置等。
用于监控处理的信号可以从各种处理工具传感器由控制器的模拟和/或数字输入连接提供。用于控制处理的信号可以在控制器的模拟和数字输出连接输出。可以被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器、热电偶等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用以维持处理条件。
上述各种硬件和方法实施例可以与光刻图案化工具或者处理结合使用,例如用于制造或者生产半导体器件、显示器、LED、光伏板等。典型地,但不必然地,该工具/处理将在普通的制造设施中一起使用或进行。
膜的光刻图案化典型地包含一些或者所有下面的步骤,每个步骤能够使用多种可行的工具:(1)使用旋涂或者喷涂工具,将光致抗蚀剂上施加在工件上,例如,上面形成有氮化硅膜的衬底上;(2)使用热板或者热炉或者其他适当的固化工具,将光致抗蚀剂固化;(3)用诸如晶片步进机之类的工具,将光致抗蚀剂暴露至可见光或者UV光或者X射线;(4)将抗蚀剂显影,以便使用诸如湿式操作台或者喷涂显影器之类的工具选择性去除抗蚀剂,从而使其图案化;(5)通过使用干燥或式者等离子体辅助式的蚀刻工具,将抗蚀剂图案传移到底层膜或者工件;以及(6)使用诸如RF或者微波等离子体抗蚀剂剥离器之类的工具来去除抗蚀剂。在一些实施例中,可以在适用光致抗蚀剂之前沉积可灰化硬掩模层(诸如非晶碳层)和另一种适当的硬掩模(诸如抗反射层)。
要理解的是本文说明的配置和/或方法在本质上是示例性的,并且这些具体实施例或者示例不应理解为限制意义,因为可以有大量变化。本文说明的具体例程或者方法可以代表任何数量的处理策略中的一个或多个。这样,示出的各种操作可以以示出的序列、其他序列、并行地执行,或者在一些情况下被省略。同样,可以改变上述说明的处理的顺序。
本公开的主题包含本文公开的各种处理、系统和配置以及其他特征、功能、操作和/或性质的所有新颖和非显而易见的组合、和子组合、以及其任何和所有等同物。

Claims (24)

1.一种制造用于离子束蚀刻反应器的电极部件的方法,所述方法包括:
提供第一电极、第二电极和第三电极;
提供并固定第一电极间结构,使其固定在所述第一电极和所述第二电极之间,并且提供并固定第二电极间结构,使其固定在所述第二电极和所述第三电极之间,其中,所述第一电极、所述第二电极、所述第三电极、所述第一电极间结构、以及所述第二电极间结构实质上彼此垂直对准,以形成所述电极部件;以及
在所述第一电极、所述第二电极和所述第三电极中形成多个开口,且所述第一电极间结构和所述第二电极间结构被固定在所述电极部件中。
2.如权利要求1所述的方法,其中,所述电极中的一个或多个包括简并掺杂硅,其中,固定所述第一电极间结构包括将所述第一电极间结构附接至所述第一电极和/或所述第二电极,其中,固定所述第二电极间结构包括将所述第二电极间结构附接至所述第二电极和/或所述第三电极。
3.如权利要求2所述的方法,其中,固定所述电极间结构中的一个或多个包括将所述电极间结构中的一个或多个静电地键合至所述电极中的至少一个。
4.如权利要求1所述的方法,其中,固定所述电极间结构中的一个或多个包括提供附着剂或者玻璃熔块,以将所述一个或多个电极间结构固定至所述电极中的一个或多个。
5.如权利要求1所述的方法,其中,固定所述第一电极间结构并固定所述第二电极间结构包括提供直接或者间接固定所述第一电极间结构和所述第二电极间结构、以及直接固定至少所述第一电极和所述第三电极的托架或夹子。
6.如权利要求1所述的方法,其中,在第一电极、第二电极和第三电极中形成开口还包括在所述第一电极间材料中并在所述第二电极间材料中形成开口,并且还包括在形成所述开口之后,将所述电极部件浸在蚀刻溶液中,因而蚀刻并移除至少所述第一电极间层的一部分和所述第二电极间层的一部分。
7.如权利要求6所述的方法,其中,将所述电极部件浸在蚀刻溶液中导致形成与所述第一电极和所述第二电极接触,或者与所述第二电极和所述第三电极接触的支撑结构,其中,所述支撑结构由所述第一电极间结构和所述第二电极间结构形成。
8.如权利要求1-7中的任一项所述的方法,其中,所述第一、第二和第三电极与所述第一和第二电极间结构具有的热膨胀系数(CTE)彼此相差约50%或50%以下。
9.如权利要求1-7中的任一项所述的方法,其中,形成所述开口包括用激光钻出所述开口。
10.如权利要求1-7中的任一项所述的方法,其中,所述第三电极中的所述开口具有的直径大于所述第二电极中的所述开口的直径约0-30%,其中,所述第二电极中的所述开口的直径大于所述第一电极中的所述开口的直径约0-30%。
11.如权利要求1-7中的任一项所述的方法,其中,所述电极中的一个或多个具有开口,该开口以约10°或以下的角成为锥形。
12.如权利要求1-7中的任一项所述的方法,其还包括将反射器附接至所述第三电极,其中,所述反射器阻断通过所述电极部件的直接视线。
13.如权利要求1-7中的任一项所述的方法,其还包括在所述第一电极间结构和/或所述第二电极间结构中形成气体通路,其中,所述气体通路允许气体从所述电极部件的所述内部区域向外逃逸。
14.如权利要求1-7中的任一项所述的方法,其还包括在形成所述开口之前或者之后,向所述电极部件提供第四电极,所述第四电极被提供在所述第一电极上方,其中,所述第四电极形成包括多个中空阴极发射极的中空阴极发射极电极。
15.如权利要求14所述的方法,其中,所述中空阴极发射极电极包括上表面和下表面,所述下表面面对所述第一电极,并还包括在所述第一、第二和第三电极中形成所述开口之前、期间、或者之后,在所述中空阴极发射极电极中形成多个孔,每个孔具有在所述上表面处较大且朝所述下表面较小的直径,其中,在所述第一、第二、和第三电极中形成所述开口之后,所述孔对准所述开口的位置。
16.如权利要求14所述的方法,其中,所述中空阴极发射极电极中的所述孔包括下圆筒部分和上可变直径部分。
17.如权利要求16所述的方法,其中,所述上可变直径部分具有漏斗形状。
18.一种蚀刻半导体衬底的方法,所述方法包括:
在衬底支撑件上定位衬底,其中,耦接至所述衬底支撑件的旋转机构被配置为以约2°或者更好的精度使所述衬底旋转;
施加第一偏置至第一电极,并施加第二偏置至第二电极,其中,所述第一和第二电极其中包括开口,并且在所述第一和第二电极上方供应等离子体,其中,离子通过所述第一和第二电极中的所述开口朝所述衬底的表面;
当供应所述等离子体时,在第一方向以及与所述第一方向相反的第二方向循环旋转所述衬底和衬底支撑件;以及
蚀刻所述衬底,作为当旋转所述衬底时离子或者粒子冲击所述衬底的所述表面的结果。
19.如权利要求18所述的方法,其中,衬底支架被配置为旋转约±215°或以下。
20.一种用于蚀刻半导体衬底的装置,所述装置包括:
反应腔室;
衬底支撑件;
入口,其用于向所述反应腔室供应一种或多种气体或者等离子体;
第一电极、第二电极和第三电极,每个电极其中具有多个开口,其中,所述第二电极位于所述第一电极下方,其中,所述第三电极位于所述第二电极下方;
中空阴极发射极电极,其包括多个中空阴极发射极,其中,所述中空阴极发射极对准所述第一、第二、和第三电极中的所述开口,其中,所述中空阴极发射极电极位于所述第一电极上方;以及
一个或多个RF源,其配置为进行如下操作中的一个或多个:(i)在所述中空阴极发射极电极上方产生等离子体,(ii)施加偏置到所述中空阴极发射极电极,(iii)施加偏置到所述第一电极,和/或(iv)施加偏置到所述第二电极。
21.如权利要求20所述的装置,其还包括旋转机构,所述旋转机构被配置为以约2°或者更好的精度旋转并倾斜所述衬底支撑件。
22.如权利要求20所述的装置,其还包括位于所述第三电极下方和所述衬底支撑件上方的反射器,其中,所述反射器可操作来中和在蚀刻期间通过所述第一电极、所述第二电极和所述第三电极中的所述开口的离子。
23.如权利要求20-22中的任一项所述的装置,其中,通过所述中空阴极发射极电极的中空阴极发射极的总气体传导率为约10000L/min或以下。
24.如权利要求23所述的装置,其还包括在高气流期间或者在抽空期间防止形成过量的跨越所述电极部件的压力差的气体旁路通路。
CN201510548855.2A 2014-08-29 2015-08-31 用于离子束蚀刻的离子喷射器电极组件 Active CN105390356B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201710920105.2A CN107768226B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统
CN201710917663.3A CN107768222B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/473,863 US9406535B2 (en) 2014-08-29 2014-08-29 Ion injector and lens system for ion beam milling
US14/473,863 2014-08-29

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201710920105.2A Division CN107768226B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统
CN201710917663.3A Division CN107768222B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统

Publications (2)

Publication Number Publication Date
CN105390356A true CN105390356A (zh) 2016-03-09
CN105390356B CN105390356B (zh) 2017-11-07

Family

ID=55403332

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201710917663.3A Active CN107768222B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统
CN201510548855.2A Active CN105390356B (zh) 2014-08-29 2015-08-31 用于离子束蚀刻的离子喷射器电极组件
CN201710920105.2A Active CN107768226B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710917663.3A Active CN107768222B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710920105.2A Active CN107768226B (zh) 2014-08-29 2015-08-31 用于离子束研磨的离子喷射器和透镜系统

Country Status (4)

Country Link
US (3) US9406535B2 (zh)
KR (3) KR102514467B1 (zh)
CN (3) CN107768222B (zh)
TW (1) TWI686886B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109698110A (zh) * 2017-10-23 2019-04-30 三星电子株式会社 中空阴极以及用于制造半导体器件的装置和方法
CN110759314A (zh) * 2019-11-02 2020-02-07 中北大学 基于mems工艺的碱金属原子微型气室的制备方法
CN111863576A (zh) * 2019-04-25 2020-10-30 上海凯世通半导体股份有限公司 离子束能量控制装置
CN113196123A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的电子束装置

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10041747B2 (en) * 2010-09-22 2018-08-07 Raytheon Company Heat exchanger with a glass body
FR2976400B1 (fr) * 2011-06-09 2013-12-20 Ion Beam Services Machine d'implantation ionique en mode immersion plasma pour procede basse pression.
KR101585889B1 (ko) * 2014-02-27 2016-02-02 한국과학기술원 고효율 할로우 음극과 이를 적용한 음극 시스템
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
EP3468309B1 (en) * 2016-05-27 2020-10-21 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation device
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10381231B2 (en) 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
KR20180081291A (ko) 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US9934933B1 (en) * 2017-01-19 2018-04-03 Kla-Tencor Corporation Extractor electrode for electron source
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
US10193066B2 (en) 2017-06-30 2019-01-29 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for anisotropic substrate etching
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US20190131585A1 (en) * 2017-11-01 2019-05-02 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Apparatus of pecvd and manufacturing method of oled panel
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
CN110551987A (zh) * 2018-06-04 2019-12-10 至玥腾风科技投资集团有限公司 环形单晶无机非金属部件的制作方法、设备及飞轮
CN109087840B (zh) * 2018-09-27 2023-11-07 中山市博顿光电科技有限公司 一种水冷式射频中和器
JP7117734B2 (ja) * 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
WO2020178064A1 (en) 2019-03-04 2020-09-10 Agc Glass Europe Fractioning device
US11440151B2 (en) 2019-06-07 2022-09-13 Applied Materials Israel Ltd. Milling a multi-layered object
USD918836S1 (en) * 2019-06-17 2021-05-11 Sang Hoon Shin Ionizing coil frame locating ribs
US10971618B2 (en) 2019-08-02 2021-04-06 Applied Materials Israel Ltd. Generating milled structural elements with a flat upper surface
US11276557B2 (en) 2019-09-17 2022-03-15 Applied Materials Israel Ltd. Forming a vertical surface
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
CN112259942B (zh) * 2020-08-31 2021-09-07 中国空间技术研究院 一种用于毫米波段的双工器及其制备方法
CN114649178A (zh) * 2020-12-18 2022-06-21 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
CN112820616B (zh) * 2021-01-18 2024-04-12 北京北方华创微电子装备有限公司 一种半导体工艺腔室
WO2023191324A1 (ko) * 2022-03-29 2023-10-05 주식회사 인포비온 대형 리니어 플라즈마 소스, 이를 이용한 대형 리니어 하전입자빔 소스 및 대형 리니어 하전입자빔 소스용 그리드
CN116978763B (zh) * 2023-09-25 2023-12-12 电子科技大学 一种便于电子枪电极中心对准的装配方法及装配固定结构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US528544A (en) * 1894-11-06 Son and edward s
JPH06208837A (ja) * 1993-01-11 1994-07-26 Nippon Telegr & Teleph Corp <Ntt> イオンビ―ムプロセス装置のプラズマ引出し用グリッド
CN1661762A (zh) * 2004-02-26 2005-08-31 Tdk株式会社 离子束辐照装置和用于该装置的绝缘隔离物
KR20110097193A (ko) * 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US3969646A (en) 1975-02-10 1976-07-13 Ion Tech, Inc. Electron-bombardment ion source including segmented anode of electrically conductive, magnetic material
JPS5223467A (en) 1975-08-14 1977-02-22 Matsushita Electric Ind Co Ltd Heat sensitive controlling device for use in cooking equipment
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5675606A (en) * 1995-03-20 1997-10-07 The United States Of America As Represented By The United States Department Of Energy Solenoid and monocusp ion source
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
AU4165599A (en) * 1998-06-15 2000-01-05 Nikon Corporation Position sensing method, position sensor, exposure method, exposure apparatus, and production process thereof, and device and device manufacturing method
US6515426B1 (en) * 1998-12-15 2003-02-04 Hitachi, Ltd. Ion beam processing apparatus and method of operating ion source therefor
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100382720B1 (ko) 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
JP2003035700A (ja) * 2001-07-24 2003-02-07 Kobe Steel Ltd 荷電粒子分析装置、その製造方法及びそれを使用する荷電粒子分析方法
CN2501657Y (zh) * 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
KR100412953B1 (ko) 2001-11-26 2003-12-31 학교법인 성균관대학 중성빔을 이용한 식각장치
JP3906686B2 (ja) 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
JP3873277B2 (ja) * 2002-03-28 2007-01-24 三菱マテリアル株式会社 プラズマエッチング用多層シリコン電極板
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030224620A1 (en) * 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6911660B2 (en) * 2002-10-02 2005-06-28 Varian Semiconductor Equipment Associates, Inc. Method of measuring ion beam angles
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4233348B2 (ja) 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
WO2004107825A1 (ja) * 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
US7123454B2 (en) 2003-06-12 2006-10-17 Headway Technologies, Inc. Longitudinal bias structure having stability with minimal effect on output
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) * 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
EP1676291B1 (en) * 2003-10-20 2013-04-24 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electric field regions
US6956219B2 (en) * 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2007016659A (ja) * 2005-07-06 2007-01-25 Kobelco Contstruction Machinery Ltd 冷却ファンの制御装置
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
ATE545147T1 (de) * 2005-09-06 2012-02-15 Zeiss Carl Smt Gmbh Untersuchungsverfahren und system für geladene teilchen
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR100706809B1 (ko) * 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
US20090304924A1 (en) 2006-03-03 2009-12-10 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7935942B2 (en) 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) * 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
GB2451480B (en) * 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
KR100895630B1 (ko) * 2007-10-01 2009-05-06 박흥균 전자빔 방출장치
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
TWI520660B (zh) 2009-04-03 2016-02-01 瓦里安半導體設備公司 保形沈積的方法以及在工件中蝕刻三維特徵的方法
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
CN102379005B (zh) 2009-04-13 2016-08-24 应用材料公司 用离子和中性束注入改变膜的磁性
JP5174750B2 (ja) * 2009-07-03 2013-04-03 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線画像を安定に取得する方法
KR20120053003A (ko) * 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
CN201544052U (zh) * 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5444044B2 (ja) 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
RU2579749C2 (ru) * 2010-08-23 2016-04-10 Эксодженезис Корпорейшн Способ и устройство обработки нейтральным пучком, основанные на технологии пучка газовых кластерных ионов
EP2625306B1 (en) 2010-10-05 2020-09-30 Veeco Instruments Inc. Grid providing beamlet steering
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) * 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
JP2014209406A (ja) * 2011-07-20 2014-11-06 キヤノンアネルバ株式会社 イオンビーム発生装置、およびイオンビームプラズマ処理装置
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) * 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
US8613863B2 (en) 2011-11-29 2013-12-24 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US8895323B2 (en) 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
JP2013171925A (ja) * 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9373775B2 (en) 2012-09-13 2016-06-21 Micron Technology, Inc. Methods of forming magnetic memory cells
US9793098B2 (en) * 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
TWI506680B (zh) 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
JP5432396B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
US9564297B2 (en) 2013-05-16 2017-02-07 Applied Materials, Inc. Electron beam plasma source with remote radical source
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9269542B2 (en) 2013-11-01 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Plasma cathode charged particle lithography system
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
CN106062945B (zh) 2014-03-11 2019-07-26 东芝存储器株式会社 磁存储器和制造磁存储器的方法
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20160135044A (ko) 2015-05-15 2016-11-24 삼성전자주식회사 패턴 형성 방법, 이를 이용한 자기기억소자의 제조방법, 및 이를 이용하여 제조된 자기기억소자
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
KR102411080B1 (ko) 2015-09-02 2022-06-21 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 자기 메모리 장치의 제조 방법
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6208837B1 (ja) 2016-10-12 2017-10-04 株式会社エイチアイ ユーザインタフェースを制御する方法、プログラム及び装置
US20180233662A1 (en) 2017-02-14 2018-08-16 Lam Research Corporation Systems and methods for patterning of high density standalone mram devices
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US528544A (en) * 1894-11-06 Son and edward s
JPH06208837A (ja) * 1993-01-11 1994-07-26 Nippon Telegr & Teleph Corp <Ntt> イオンビ―ムプロセス装置のプラズマ引出し用グリッド
CN1661762A (zh) * 2004-02-26 2005-08-31 Tdk株式会社 离子束辐照装置和用于该装置的绝缘隔离物
KR20110097193A (ko) * 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109698110A (zh) * 2017-10-23 2019-04-30 三星电子株式会社 中空阴极以及用于制造半导体器件的装置和方法
CN109698110B (zh) * 2017-10-23 2023-09-05 三星电子株式会社 中空阴极以及用于制造半导体器件的装置和方法
US11798788B2 (en) 2017-10-23 2023-10-24 Samsung Electronics Co., Ltd. Hollow cathode, an apparatus including a hollow cathode for manufacturing a semiconductor device, and a method of manufacturing a semiconductor device using a hollow cathode
CN113196123A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的电子束装置
CN111863576A (zh) * 2019-04-25 2020-10-30 上海凯世通半导体股份有限公司 离子束能量控制装置
CN111863576B (zh) * 2019-04-25 2023-10-20 上海凯世通半导体股份有限公司 离子束能量控制装置
CN110759314A (zh) * 2019-11-02 2020-02-07 中北大学 基于mems工艺的碱金属原子微型气室的制备方法

Also Published As

Publication number Publication date
KR102514467B1 (ko) 2023-03-24
US11062920B2 (en) 2021-07-13
US20160307781A1 (en) 2016-10-20
TWI686886B (zh) 2020-03-01
KR20230043816A (ko) 2023-03-31
CN105390356B (zh) 2017-11-07
CN107768226B (zh) 2020-03-31
CN107768222A (zh) 2018-03-06
CN107768226A (zh) 2018-03-06
KR102660958B1 (ko) 2024-04-24
CN107768222B (zh) 2020-08-18
KR20160026770A (ko) 2016-03-09
US20180166304A1 (en) 2018-06-14
KR20240058818A (ko) 2024-05-07
TW201626482A (zh) 2016-07-16
US20160064260A1 (en) 2016-03-03
US9406535B2 (en) 2016-08-02
US9916993B2 (en) 2018-03-13

Similar Documents

Publication Publication Date Title
CN105390356A (zh) 用于离子束研磨的离子喷射器和透镜系统
US10998167B2 (en) Ion beam etch without need for wafer tilt or rotation
US11289306B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
TW201628045A (zh) 使用離子束蝕刻以產生環繞式閘極結構
US20010036744A1 (en) Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
KR102455231B1 (ko) 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법
JP2007327959A (ja) インターフェース部品及びその作製方法
US10950414B2 (en) Plasma processing apparatus and method of manufacturing semiconductor device using the same
KR20130129109A (ko) 정전렌즈의 전극 및 그 제조 방법
EP3899614A1 (en) Method of optical device fabrication using an ion beam source
US20170092473A1 (en) In-situ plasma cleaning of process chamber electrostatic elements having varied geometries
TW202025215A (zh) 電漿處理用方法及設備

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant