TW201628045A - 使用離子束蝕刻以產生環繞式閘極結構 - Google Patents

使用離子束蝕刻以產生環繞式閘極結構 Download PDF

Info

Publication number
TW201628045A
TW201628045A TW104134288A TW104134288A TW201628045A TW 201628045 A TW201628045 A TW 201628045A TW 104134288 A TW104134288 A TW 104134288A TW 104134288 A TW104134288 A TW 104134288A TW 201628045 A TW201628045 A TW 201628045A
Authority
TW
Taiwan
Prior art keywords
substrate
channel
nanowire
forming
ion
Prior art date
Application number
TW104134288A
Other languages
English (en)
Other versions
TWI687962B (zh
Inventor
伊凡 L 貝里三世
托爾斯滕 立爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201628045A publication Critical patent/TW201628045A/zh
Application granted granted Critical
Publication of TWI687962B publication Critical patent/TWI687962B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

此處各種實施例相關於執行非等向性離子束蝕刻的方法和設備,以形成通道陣列。通道可形成於半導體材料中,並且可用於環繞式閘極裝置中。一般來講,已圖案化遮罩層係設置於一層半導體材料之上。在基板係相關於離子軌道而在兩個特定的方位上進行定位時,將離子引向基板。基板在該等方位之間進行切換,使得離子從兩個相反的角度撞擊在基板上。已圖案化遮罩層遮蔽/保護下方的半導體材料,使得在相交的已遮蔽區域中形成通道。

Description

使用離子束蝕刻以產生環繞式閘極結構
本發明相關於執行非等向性離子束蝕刻的方法和設備,形成通道陣列。
積體電路持續以越來越小的尺寸進行製造。然而如場效電晶體(FET)的某些半導體裝置的小尺寸導致產生某些問題。例如,短的通道長度可導致產生源極及/或汲極區對通道、或通道中之阻障物有不當影響的短通道效應。該等短通道效應可導致閘極對通道實行控制之能力的降低。有利地,該等效應在使用3D裝置代替平面裝置時係降低的。例如,使用鰭型場效電晶體(Fin FET)時,因為閘極包圍在通道四側之其中三者的周圍,所以閘極對通道具有增加的控制作用(亦即,閘極與通道的兩個側表面、及通道的頂部表面接觸)。相似地,環繞式閘極(GAA, gate-all-around)結構藉由將閘極材料包圍在通道的所有邊側周圍而達成改善的控制作用。藉由以此方式圍繞通道,閘極可對通道實行更緊密的控制,且從而更可靠地調制裝置的開/關狀態。
此處某些實施例相關於形成環繞式閘極裝置中之通道的方法和設備。通道可以具有若干通道列之陣列的形式形成。在各種情形中,可使用反應離子束蝕刻、或化學輔助離子束蝕刻。
此處實施例的一實施態樣中,提供形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,該方法包含:(a)在反應腔室中提供一基板於基板固持器上,基板包含半導體材料之上的已圖案化遮罩層,其中已圖案化遮罩層包含實質上平行於彼此而定向的複數線性遮罩部;(b)使基板相關於離子軌道在第一方位上定向,並且將離子在第一方向上引導至基板,其中離子以第一入射角度衝擊基板,從而非等向性地蝕刻半導體材料,以形成第一組已蝕刻凹槽;(c)使基板相關於該離子軌道在第二方位上定向,並且將離子在第二方向上引導至基板,其中離子以第二入射角度衝擊基板,從而非等向性地蝕刻半導體材料,以形成第二組凹槽;(d)重複(b)-(c),以進一步蝕刻第一及第二組凹槽,以在平行於複數線性遮罩部的方向上形成通道或奈米線。
在各種實施例中,形成通道陣列,且通道陣列包含一或更多通道列,例如二或更多通道列。可使離子以可設定至特定發散度之離子束的方式加以傳送。在一些實施例中,離子束具有約3°或更小的發散度。在某一情形中,離子束具有約1°或更小的發散度,並且形成通道或奈米線陣列,該陣列包含至少三列的通道或奈米線。
方法亦可包含在(b)及/或(c)中在使該基板暴露至離子時移動基板。在一些情形中,方法亦可包含在基板相關於離子軌道切換方位時,使離子的通量停止。
離子可以特定的角度受引導至基板。在各種情形中,第一方向及第二方向係各垂直於線性遮罩部的長度。第一方向及第二方向實質上可彼此相反。在一些情形中,第一及第二入射角度實質上係相等,並且彼此相反的。
蝕刻可透過許多不同的反應機構而進行。在一些情形中,方法包含在(b)期間及在(c)期間,傳送反應性蝕刻劑至基板的表面。舉例而言,反應性蝕刻劑可包含選自由以下者組成之群組的氣體:H2 、O2 、H2 O、F2 、CH4 、CF4 、C2 F6 、BF3 、NH3 、NO、NO2 、SF6 、N2 、N2 O、BCl3 、CHF3 、CH2 F2 、C2 H4 Cl2 、HCl、Cl2 、Br2 、HBr、甲醇、乙醇、丙醇、丁醇、甲烯乙二醇、乙烯乙二醇、乙醯丙酮、乙酸、甲酸、六氟戊二酮(hexafluoroacetylacetone)、酮、胺、酯、及其組合。在某些實施例中,反應性蝕刻劑包含選自由以下者組成之群組的材料離子:H、O、F、Cl、Br、I、BF2 、BCl2 、及其組合。
反應性蝕刻劑可與惰性氣體一起提供,其中惰性氣體係用於產生自其抽取電子的電漿。在某些實施例中,(b)及(c)各包含循環地執行以下步驟:傳送反應性蝕刻劑至基板的表面、以及使基板的表面暴露至該離子。在蝕刻期間可重複該循環。舉例而言,在一些情形中,每次基板相關於離子軌道切換方位時,執行至少兩個循環的反應物傳送與離子暴露。在該等及其他實施例中,基板相關於離子軌道的方位可在通道的形成期間切換二或更多次。在某些情形中,基板相關於離子束的方位可在通道的形成期間切換五或更多次,如十或更多次。(b)及/或(c)中使基板相關於離子軌道的定向可包含:(i)改變基板的方位、及/或(ii)改變離子軌道的方位。
可承受許多額外的處理步驟,以形成通道及/或奈米線、並且/或者以形成環繞式閘極裝置或其他電子裝置。在一些實施例中,方法包含在(d)之後,蝕刻通道或奈米線,以使通道或奈米線更圓。方法亦可包含:移除已圖案化遮罩層;在通道周圍沉積犧牲材料;執行等向性蝕刻,以移除定位於通道下方的額外半導體材料,以及執行蝕刻,以移除通道周圍的犧牲材料。在一些實施例中,移除犧牲材料後,可沉積介電質材料來塗佈通道。方法可進一步包含在已塗佈的通道周圍沉積閘極結構,以形成環繞式閘極裝置。
在所揭露實施例的另一實施態樣中,提供在半導體基板上形成環繞式閘極裝置或其他電子裝置之通道或奈米線的設備,該設備包含:反應腔室;電漿源;基板支撐件,其係用以在至少一第一位置上及一第二位置上定位該基板,該基板包含半導體材料下方包括複數線性遮罩部的已圖案化遮罩層;以及控制器,其包含用於下列者的指令:(a)使基板相關於離子軌道在第一位置上定向,並且將離子在第一方向上引導至基板,其中離子以第一入射角度衝擊基板,從而非等向性地蝕刻基板上之半導體材料,以形成第一組凹槽;(b)使基板相關於離子軌道在第二位置上定向,並且將離子在第二方向上引導至基板,其中離子以第二入射角度衝擊基板,從而非等向性地蝕刻半導體材料,以形成第二組凹槽;(c)重複(a)-(b),以進一步蝕刻第一及第二組凹槽,以在平行於複數線性遮罩部的方向上形成通道。
控制器可進一步具有執行此處所揭露之任何方法的指令。在某些實施例中,設備進一步包含定位於反應腔室中的複數電極,每一電極具有複數孔。
在所揭露實施例的進一步實施態樣中,提供形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,該方法包含:(a)在反應腔室中提供一基板於基板固持器上,基板包含半導體材料之上的包含已圖案化遮罩層,其中已圖案化遮罩層包含實質上平行於彼此而定向的複數線性遮罩部;(b)使基板相關於離子軌道在第一位置上定向,並且將離子在第一方向上引導至該基板,複數線性遮罩部保護複數的第一已遮蔽區域,其中離子以第一入射角度衝擊基板,從而蝕刻半導體材料,以在鄰近的第一已遮蔽區域之間形成第一組凹槽;(c)使基板相關於離子軌道在第二位置上定向,並且將離子在第二方向上引導至基板,複數線性遮罩部保護複數的第二已遮蔽區域,其中離子以第二入射角度衝擊基板,從而蝕刻半導體材料,以在鄰近的第二已遮蔽區域之間形成第二組凹槽;(d)重複(b)-(c),以進一步蝕刻第一及第二組凹槽,其中第一及第二組凹槽彼此相交、其中第一已遮蔽區域第二已遮蔽區域彼此相交、且其中於第一及第二已遮蔽區域彼此相交的範圍中,在平行於複數線性遮罩部的方向上形成通道或奈米線。
該等或其他特徵將於以下參考相關圖式而加以描述。
本申請案中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、以及「部分製造的積體電路」係可互換地使用。此領域通常技術者將理解,用語「部分製造的積體電路」可指處於矽晶圓上積體電路製造之許多階段的任何者期間的矽晶圓。半導體裝置產業中所使用之晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。以下實施方式假設本發明係於晶圓上實施。然而,本發明並非如此限制。工件可具有各種形狀、尺寸、以及材料。除半導體晶圓外,可利用本發明的其他工件包含各種物件,例如,印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、光電裝置、微機械元件、及其類似物。
在以下描述內容中,提出許多具體細節,以提供對所呈現之實施例的透徹理解。所揭露實施例可在沒有該等具體細節的一些或全部者條件下實行。在其它情形中,已為人所熟知的製程操作並未作詳細描述,以免不必要地模糊所揭露實施例。儘管所揭露實施例將結合具體實施例而加以描述,但將理解其並非意圖限制所揭露實施例。
環繞式閘極(GAA)結構提供增加積體電路中電路密度的有望成功的途徑,尤其是對比於2D場效電晶體(FET ,field effect transistors)。目前形成GAA結構的方法依靠選擇性等向性蝕刻,以下切微影定義的複數線。此處所揭露方法利用使用反應離子束蝕刻或化學輔助離子束蝕刻的高角度非等向性蝕刻來形成此結構。所揭露方法其中一優點係產生密集堆疊導體陣列(該導體作為通道)的能力,從而顯著地增加裝置的密度。
在此處各種實施例中,GAA結構的通道、及通道陣列係透過反應離子束蝕刻而形成。藉由使用適當的遮罩、以及從特定傾斜角度及旋轉角度的蝕刻,可直接將如此通道蝕刻至期望材料中。
離子束蝕刻已用於半導體裝置的製造中。離子束蝕刻涉及藉由傳送能量離子至基板表面而自基板的表面移除材料。離子束蝕刻可廣泛地分為僅涉及惰性離子(例如,氬離子)的製程、以及涉及離子(如氧離子、如含氟離子化化合物的某些離子化化合物、與化學吸附或物理吸附於基板之上表面上的反應物引發化學反應的反應性或惰性離子等)引發之反應離子或化學反應的製程。在該等製程中,離子撞擊在基板表面上,並透過直接的物理動量轉移(濺射)、 或透過由來自離子的能量轉移所引發之化學反應(反應離子束蝕刻或化學輔助離子束蝕刻)而移除材料。反應離子束蝕刻(RIBE,reactive ion beam etching)典型地涉及使用可與基板進行化學反應的離子(例如,氧、氟、及類似物)。在化學輔助離子束蝕刻(CAIBE,chemically assisted ion beam etching)中,惰性離子進行以下者:引發基板與反應物(例如,吸附於表面上的施加氣體)之間的化學反應;或者在基板的表面上產生一反應位置,於該反應物位置產生的同時、或之後,基板與所施加的反應物進行反應;或其任何組合。
影響蝕刻結果品質的一因素係控制能量、及離子衝擊表面之角度(亦即,入射的角度)的能力。該等因素對於形成具有期望尺寸和輪廓的特徵部而言係重要的。如此處所描述,由於控制離子撞擊在基板上之角度(包含傾斜角度及旋轉角度)的能力,離子束蝕刻可尤其有助於製造GAA裝置。
圖1根據某些方法,呈現用以執行離子束蝕刻的裝置100的簡化橫剖面圖。在此範例中,基板101置於基板支撐件103上,該基板支撐件103可配備有硬體(未顯示),以提供電連接和流體連接。在一些情形中,電連接可用於供應電流至基板支撐件103,或至位於基板支撐件103上或內的靜電夾頭(未顯示),而流體連接可用於提供用以控制基板101和基板支撐件103之溫度的流體。基板支撐件103可藉由加熱器(未顯示)而加熱、或藉由冷卻機構(未顯示)而冷卻。冷卻結構可涉及使冷卻流體流過基板支撐件103內,或與其鄰近的管路。如圖1中雙頭箭號所示,基板支撐件103可係能夠以可變的速度和角度進行旋轉及傾斜。在此處實施例中,基板支撐件103亦能夠將基板定位於非常精確的位置及方位。換句話說,基板支撐件103不只可傾斜及旋轉基板,亦可將基板可靠地傾斜及旋轉至如期望般之特定傾斜角度及旋轉角度。
進一步講,在某些實施例中,基板支撐件103可用以移動基板。例如,基板支撐件可在基板之平面內的任何方向上往返移動基板,並且基板支撐件可相關於離子源使基板上升或降低、或者相關於離子源使基板傾斜。具有能以此方式移動基板之基板支撐件的設備可尤其有益於形成GAA結構,因為該移動可容許利用較高準直的射束進行的處理,該較高準直的射束係更善於蝕刻大通道陣列。在先前的許多離子束蝕刻應用中,離子束係設計成稍微發散的,使得當結合在一起時,複數射束將離子傳送至基板的整個表面。使用更高準直的射束時,如此射束未必能使離子均勻地傳送至基板表面的某些部位。基板旋轉係經常用來使該等空間效應平均化,且使離子更均勻地分佈於基板表面的範圍。然而,此旋轉導致在基板旋轉時相關於基板上之特徵部的離子入射角度連續地改變。然而,在此處所揭露的各種實施例中,期望離子束係非常高準直的(亦即,具有非常低的發散度),且以特定的角度打擊基板上的特徵部。如此高準直的射束係更善於形成通道陣列。藉由移動基板,可在不必使用發散離子束的條件下,使離子傳送至基板的整個表面。
將產生電漿的氣體傳送至初級電漿產生區105。產生電漿的氣體係藉由電漿源107而激發。在圖1之內容中,電漿源107係充當電感式耦合電漿源的線圈。如電容式耦合源、微波源、或放電源之其他源可應用於適當設計的反應器中。電漿在初級電漿產生區105中形成。抽取電極109包含一系列孔110,離子係通過該等孔而受到抽取。
孔110可具有約0.5-1cm之間的直徑、以及由電極之厚度而定義的高度。孔110可具有約0.01-100.0之間的高對寬縱橫比(AR,aspect ratio)。在一些情形中,孔110係以六角形、方形網格、或螺旋圖案而排列,然而亦可使用其他圖案。相鄰孔之間中心到中心的距離可為約1mm-10cm之間。孔可用以達成約為僅考量電極的單一面(頂或底)時電極之表面面積的0.1%-95%之間的總開放面積(亦即,每一孔之面積的總和)。舉例而言,具有40cm之直徑、以及500個洞(每一洞具有1cm的直徑)的電極將具有約31%(393cm2 的開放面積除以1257cm2 的總面積)的開放面積。孔110在不同的電極中可具有不同的直徑。在一些情形中,上電極中之孔直徑較小,且下電極中較大。在一實施例中,下電極113中之孔係大於聚焦電極111中之孔(例如,約大0-30%之間)。在該等或其他情形中,聚焦電極111中之孔可大於抽取電極109中之孔(例如,約大0-30%之間)。
相關於基板101而施加至抽取電極109之偏壓V1 用於提供相關於基板的動能至離子。此偏壓一般係正向的,且可在約20-10000伏特之間的範圍中或更高。在某些情形中,抽取電極上之偏壓係介於約20-2000伏特之間。抽取電極109之上的電漿中的正離子係藉由電極109和113之間的電位差異而受吸引至下電極113。附加聚焦電極111以使離子聚焦,且在需要時排斥電子。此電極上之偏壓V2 可相關於抽取電極109而為正向的或負向的,但一般係負向偏置。聚焦電極111之偏壓電位係藉由聚焦電極111的透鏡特性而判定。聚焦電極上之偏壓電壓包含:約為抽取電極上電位V1 的1.1倍至20倍之間的正電壓、以及具有約為電位V1 的0.001倍至0.95倍之間大小的負電壓。由於施加至不同電極的不同電位,所以存在電位梯度。電位梯度可為約1000V/cm的等級。相鄰電極之間的例示性分隔距離落在約0.1-10cm之間,或者例如,約1cm。
離子離開接地下電極113的底部之後,若聚焦電極111電壓係設置成產生準直射束,則離子以準直且聚焦的射束行進。可選地,若將聚焦電極的電壓調整成聚焦不足、或過度聚焦的離子束,則可使射束發散。高準直射束的使用係尤其有助於形成此處所揭露的GAA結構。下電極113在許多(但非全部)情形中係接地的。接地下電極113與接地基板101的結合使用導致產生實質上無場的基板處理區115。使基板位於無場區中防止由離子束與殘留氣體之間、或與反應腔室中表面之間的碰撞而產生之電子、或二次離子向基板加速,從而使引起不需要之損壞或二次反應的風險最小化。在其他情形中,如以下進一步描述,下電極113及基板101都可加以偏置。
另外,防止基板101從離子束本身、或從於離子束與基板的碰撞期間所產生之射出二次電子而充電係重要的。中和作用典型地係藉由在基板101之周圍中附加低能量電子源(未顯示)而實現。因為離子上的正電荷及射出二次電子都使基板帶正電,所以基板之周圍中的低能量電子可受吸引至帶正電的表面,並且可中和此電荷。在無場區內執行此中和作用係容易得多。
在一些應用中,在下電極113與基板101之間可期望具有電位差異。舉例而言,若需要非常低能量的離子,那麼由於帶正電離子的互斥力(空間電荷效應),將高準直的射束經過長距離而維持在低能量係困難的。該情況之解決方案係相關於基板101而在下電極113上設置負偏壓(或者,相反地,相關於下電極113而將基板101正向偏置)。這容許在較高能量下抽取離子,然後在其接近基板時使其減速。
在某些離子束蝕刻操作中,可省略三個電極的其中一者。在此情形中,關於離子受引導至基板表面的能量有較少的彈性。此限制出現的原因是,為使離子如期望般受到聚焦及引導,應施加特定比例的偏壓電位至兩電極。偏壓電位的比例係由這兩電極的聚焦特性和幾何形狀而控制。如此,在使用特定幾何形狀、且期望於下電極上有特定偏壓/電性狀態(例如,接地)之情形中,施加至上電極的偏壓便有少許、或沒有彈性。結果就是,使用如此設定的反應腔室在可於離子行進通過各種電極時給予其的離子能量的範圍方面受限制。如以上所述,第三電極的引入容許離子如期望般在許多不同的離子能量下受到聚焦/引導。
電極109、111、及113其中每一者具有可約為0.5mm-10cm之間、或約1mm-3cm之間(例如,約5mm)的厚度。電極109、111、及113可各為相同的厚度,或其可具有不同的厚度。進一步講,抽取電極109與聚焦電極111之間的分隔距離可相同於、大於、或小於聚焦電極111與下電極113之間的分隔距離。電極109、111、及113每一者亦具有可小於、等於、或大於正受處理基板之尺寸的尺寸。在某些實施例中,電極的尺寸係接近於基板或基板支撐件的尺寸(例如,約50%以內)。
電極109、111、及113可係圓形、矩形、或其他多角形。在某些實施例中,電極係長且窄,其中長的尺寸約等於、或約大於基板的一尺寸,且基板係在正交方向上受到掃描,使得對時間取平均時,離子束均勻地打擊在基板表面的範圍。
抽取電極109、聚焦電極111、及下電極113中之孔110可與彼此精確地對準。否則離子將受到錯誤地定向,且晶圓上的蝕刻結果將係不良的。舉例而言,若聚焦電極111中一個單孔沒有對準,則其可導致基板101其中一範圍變得過度蝕刻(過多離子受到引導之處)、且基板101的另一範圍變得蝕刻不足(沒有或過少離子受到引導之處)。如此,期望複數孔盡可能地與彼此對準。在各種情形中,垂直相鄰電極之間的不對準程度係受限於洞直徑的1%或更少(如對比於相鄰孔時,孔位置中之線性移位的距離所測量)。
離子束蝕刻製程典型地係在低壓下運行。在一些實施例中,該壓力可為約100mTorr或更小(例如,約1mTorr或更小),且在許多情形中約為0.1mTorr或更小。低壓幫助使離子與出現在基板處理區中的任何氣態物種之間的不期望碰撞最小化。
在一些實施例中,離子束蝕刻製程可用於原子層蝕刻製程。原子層蝕刻方法係於以下美國專利中進一步討論,以下美國專利的每一者係整體併入於此作為參考:美國專利第7,416,989號,命名為「ADSORPTION BASED MATERIAL REMOVAL PROCESS」;美國專利第7,977,249號,命名為「METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS」;美國專利第8,187,486號,命名為「MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS」;美國專利第7,981,763號,命名為「ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL」;美國專利第8,058,179號,命名為「ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT」。各種離子束蝕刻的方法及設備係於2014年8月29日申請且名為「ION INJECTOR AND LENS SYSTEM FOR ION BEAM MILLING」之美國專利申請案第14/473,863號、以及2014年8月12日申請且名為「DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR」之美國專利申請案第14/458,161號中進一步加以討論,其每一者係整體併入於此,以供參考。
圖2顯示具有單一通道202之GAA裝置200的基本結構。通道202延伸於源極區204與汲極區206之間。在源極區204與汲極區206之間係包圍在通道202之所有邊側周圍的閘極208。圖2中未顯示的是圍繞通道202、並且將通道202從閘極208隔開的介電材料。在各種情形中,GAA裝置包含受單一閘極控制的複數通道。額外的通道提供更多的電流,以容許GAA裝置具有更高的輸出電流、或更高的能量。
在此處各種實施例中,GAA結構的通道係透過反應離子束蝕刻(RIBE)或化學輔助離子束蝕刻(CAIBE)製程而形成。RIBE或CAIBE製程不僅可用來產生通道線,也可產生若干線之深度的通道陣列。RIBE或CAIBE之後,如以下所描述,可承受額外的蝕刻及沉積步驟,以移除不需要的材料、並且使通道如期望般塑形。
圖3A-3I根據各種所揭露的實施例,呈現透過RIBE或CAIBE製程形成通道陣列的流程圖。該製程開始於圖3A(俯視圖)及3B(側視圖),其中已圖案化遮罩層301係設置在半導體材料303之上,該半導體材料303可為本質或外質半導體。已圖案化遮罩層301包含在即將形成通道的方向上實質上平行於彼此而定向的線性遮罩部。舉例而言,半導體材料303可為矽、鍺、矽鍺、銦、鎵、三族材料、五族材料、或其組合。在某些情形中,場效裝置可具有金屬、或類金屬通道。已圖案化遮罩層301可為任何適當的遮罩材料。在此特定範例中,已圖案化遮罩層301係矽氧化物。可如顯示般設置淺凹槽隔離(STI ,shallow trench isolation)結構305。已圖案化遮罩層301的每一單獨線性遮罩部用來在蝕刻製程期間遮蔽下方的半導體材料303。已圖案化遮罩層301之線性遮罩部的尺寸決定結果通道302的尺寸。在某些實施例中,線性遮罩部具有約5-200nm之間的寬度(在圖3A及3B中左右量測),例如約10-40nm之間。線性遮罩部可具有介於約10-500nm之間的中心至中心的間隔(在圖3A及3B中左右量測),例如約20-100nm之間。遮罩部可具有約5-500nm之間的高度(在3B中上下量測),例如約10-50nm之間。線性遮罩部的長度(在3A中上下量測)決定通道302的長度。在某些情形中,遮罩部具有約20-500mn之間的長度。該等尺寸在處理後可導致具有約3-100nm之間之寬度的通道302(例如,圖3I的通道302可為約3-100nm寬)。結果通道係相對小的、且係密集堆棧的。
接著,如圖3C中顯示,執行RIBE或CAIBE製程,以蝕掉半導體材料。儘管在許多離子束蝕刻製程中使用連續的/單向的基板旋轉,但在各種所揭露實施例中使用的是不同的方法。,為形成如圖3C中所顯示的通道,基板相關於離子軌道在兩方位之間進行切換,並且離子主要在其處於該兩方位其中一者時撞擊在基板上。在一些情形中,離子僅在其處於該兩方位其中一者時撞擊在基板上。圖3C中箭頭顯示用來蝕刻半導體材料之離子的軌道。顯示兩個不同的離子軌道:一者來自右方、且一者來自左方。如進一步參考圖4A-4D所說明,在蝕刻期間的一給定時間點,僅出現該兩離子軌道的其中一者。該等離子軌道結合在一起時以極特定的方式蝕刻已圖案化遮罩層301下方的半導體材料。
基板正在改變方位時,可停止或減少離子朝向基板的通量,使得離子僅在基板處於兩期望方位其中一者時撞擊在基板上。在其他情形中,在基板切換方位時,特別地,若相較於基板花費在每一方位上的時間,切換進行得快速,則離子通量將持續。該等技術有助於確保離子僅在特定方向上蝕刻半導體材料,使得通道302可形成。通道302係形成為具有平行於已圖案化遮罩部之長度的長度。在圖3C-3E、及3G-3I中,通道的長度向頁面內/外延伸。
為將通道蝕刻成具有以此方式而定向的長度,離子應瞄準於相對高的入射角度。一般地,使用兩個入射角度:從已圖案化遮罩層301之線性遮罩部的第一側蝕刻半導體材料的一入射角度、以及從線性遮罩部的相反側蝕刻半導體材料的第二入射角度。入射角度係量測為該角度介於離子軌道與基板表面的法線之間。舉例而言,若基板係維持在水平位置,且離子以直接向下/垂直的方向撞擊在表面上,則入射角度係0°。若使基板傾斜10°,並且離子直接向下行進,則入射角度係10°。
在各種實施例中,離子束方向都係垂直於已圖案化遮罩層301之線性遮罩部的長度。入射的角度可相等、或不等。在某些實施例中,入射的角度係相等、且相反的(例如,如圖3C中沿已圖案化遮罩部之長度所觀察的+45°及-45°)。在一實施例中,第一入射角度係0°(使得離子束平行於基板法線,直接向下瞄準至基板上),並且第二或第三入射角度係用於下切線性遮罩部,以形成通道。在此實施例中,所有已形成的通道將在已圖案化遮罩層之線性遮罩部的下方進行垂直地定向。複數入射角度的不同組合可用於使通道定義為具有各種輪廓及位置。
如提及,基板不處於期望方位時,可使離子的通量停止、或最小化。這可藉由使用阻擋離子打擊基板的擋門而實現。亦可藉由熄滅電漿而使離子的通量停止、或最小化。在其他實施例中,當基板方位係正受到改變時,可使用靜電場、磁場、或兩者一起來使離子偏轉離開,避免賦予至基板上。在其他情形中,離子的通量可保持恆定,即使在基板正切換方位時。恆定的離子轟擊在基板非常快速地切換方位、及/或基板於方位改變之間於特定方位停留相對長的時間的情形中可尤其有用。
在圖4A-4D中,更詳細地顯示非等向性蝕刻製程。提供具有一層半導體材料403、及覆蓋於上的已圖案化遮罩層401的基板在基板支撐件上。圖4A-4D中顯示的尺寸係被大程度地放大,以更好地說明蝕刻製程。進一步講,為清楚起見,省略各種特徵部(例如,STI特徵部)。如圖4A中顯示,基板在第一方位上開始蝕刻製程。離子(其軌道係由箭頭代表)在第一方向上撞擊在表面上,以形成第一組凹槽440。離子可為惰性離子(例如,氖、氬等),或者其可為反應離子。可將反應物氣體在蝕刻期間引入至反應腔室。在各種實施例中,反應物氣體可被附加在電極的下游(例如,透過圖1之電極109、111、及113下方的入口)。舉例而言,可設置二次電漿源(例如,ICP、TCP、或微波電漿),以分離反應物氣體、且形成可被饋送至下電極113下方之反應腔室中的反應物自由基。二次電漿源的使用可有益於使相對較低壓力的處理得以進行,其有助於使離子與其他物種之間不需要的碰撞最小化。二次電漿源(或其他反應物源)可透過定位於基板表面附近(並且,可選地瞄準基板表面)的噴嘴而提供反應物至基板表面。 在另一實施例中,反應物係透過如以上併入作為參考之美國專利申請案第14/458,161號中所討論之掃描注入頭的使用而提供。在其他實施例中,反應物可與用於產生離子束的惰性氣體一起提供。(亦即,反應物可作為在電漿上方傳送的產生電漿之氣體的一部分而加以提供)。
反應物氣體可在離子轟擊的同時而附加,或者以交替/重複的循環方式而附加。反應物氣體以同時的方式進行傳送的情形中,其可作為背景氣體而單獨提供,或者如以上所提及,其可作為產生電漿之氣體的一部分而加以提供。反應物氣體係以循環的方式進行傳送的情形中,該循環可包含以下步驟:將反應物注入至反應腔室中,並容許反應物吸附至基板上;可選地從反應腔室沖洗反應物;可選地打開離子束;關閉離子束。在某些實施例中,反應物氣體包含H2 、O2 、H2 O、F2 、CH4 、CF4 、C2 F6 、BF3 、NH3 、NO、NO2 、SF6 、N2 、N2 O、BCl3 、CHF3 、CH2 F2 、C2 H4 Cl2 、HCl、Cl2 、Br2 、HBr、甲醇、乙醇、丙醇、丁醇、甲烯乙二醇、乙烯乙二醇、乙醯丙酮、乙酸、甲酸、六氟戊二酮(hexafluoroacetylacetone)、其他酮、其他胺、其他酯、或其某一組合。
在一特定範例中,循環蝕刻係使用以下步驟而加以執行:(1)將含氯蝕刻劑(例如,Cl2 )注入至反應腔室中,並容許蝕刻劑吸附在基板表面上,從而形成一層氯化半導體(例如,SiCl、GeCl、SiGeCl等);(2)可選地沖洗反應腔室;(3)使氬離子束暴露至基板表面上,從而在離子束衝擊範圍內移除氯化半導體;(4)重複(1)-(3);以及(5)相關於如此處所描述之離子束而切換基板的方位。
在一些情形中,可循環反應腔室中的壓力,使得當離子束係打開狀態時,壓力較低。壓力循環可有助於使離子與背景氣體之不需要的離子碰撞最小化。在其他情形中,反應腔室中的壓力保持恆定。在維持低背景壓力的同時使反應物在局域高壓下傳送至基板之局域範圍的掃描注入頭的使用可有助於降低或消除任何的壓力循環需求。
返回至圖4A-4D的實施例,如圖4B中所顯示,基板切換至第二方位。如已提及,在基板正切換方位時,可(或,可不)使離子的通量停止、或最小化。離子及反應物的傳送便可如以上所描述般持續進行。此處,離子以第二方向上撞擊在基板上,以形成第二組凹槽441。如圖3C及圖4A-4D中顯示,第一及第二方向可在晶圓法線的相反側上,且在一些情形中,該兩方向具有實質上相同的大小(如入射角定義)。在某些情形中,兩方向的入射角亦可不同。第一組凹槽440及第二組凹槽441彼此交叉,以留下第一層通道445。接下來,如圖4C中顯示,使基板重新切換至其第一方位。離子再從第一方向撞擊在基板上,以進一步蝕刻第一組凹槽440。然後,如圖4D中顯示,使基板重新切換至第二方位。此處,離子再從第二方向撞擊在基板上,以進一步蝕刻第二組凹槽441。已蝕刻凹槽440及441現在交叉形成第二層通道446。可重複此製程至形成具有若干層通道的陣列。
如圖4D中所標示,已圖案化遮罩層401正下方係另一層潛在通道447。在一些情形中,該等潛在通道447係於最終的裝置中形成為一層通道。在其他情形中,該等通道447可在之後處理步驟中移除。
在各種實施例中,基板的方位可在每一層通道的形成期間切換若干次。在其他情形中,方位可切換較少的頻率。在蝕刻透過循環製程進行的實施例中(例如,涉及反應物之序列傳送、及暴露至電漿的原子層蝕刻),可在基板切換方位之前執行若干蝕刻循環。在一些情形中,基板每切換一次方位,執行約1-500個之間(例如,約2-50個之間的循環)的蝕刻循環。基板切換方位的次數可取決於包含以下者的若干因素:正受蝕刻之材料及用於蝕刻的化學成分、電漿條件、循環的數量及/或花費在每一方位上的時間等。在一些情形中,基板可切換方位約1-500之次數等級,例如約2-100次之間、或約4-50次之間。
所揭露方法可用於形成若干列的通道。所形成之通道列的數量可為約1-10之間,例如約1-4之間。在一實施例中,僅形成一單列通道,且每一通道係在保護遮罩的正下方。參考圖4D,單列通道可為潛在通道447。在其他情形中,形成至少約一列通道、至少約二列通道、至少約三列通道、或至少約四列通道(不包含圖4D之潛在通道447列)。
所形成之通道列的數量可取決於離子束的發散度(較不發散的射束可產生較大數量的通道列)。射束發散度應為相對小的,例如對形成兩列通道而言小於約5°(不計算遮罩層正下方的潛在通道447),以及對形成三列通道而言小於約3°。如以上所提及,所揭露實施例中的射束發散度可低於許多習知的應用。儘管習知的應用典型地使用稍微發散的射束,使得離子撞擊在基板的整個表面上,但本實施例中之離子束可為更低,且完全的離子覆蓋則係透過基板的移動而提供。基板移動因此有助於達成更準直的、更不發散的離子束,從而能夠形成更大數量的通道列。
為將基板定向成離子以期望角度進行撞擊,基板支撐件可配置成使基板精確地傾斜及/或旋轉。在一範例中,基板支撐件傾斜至恆定的傾斜角度(例如,45°),且然後使基板以180°的間隔旋轉(例如,在一方向上、或往返),使得離子以兩個相反的入射角度(例如,+45°、及-45°)打擊基板。在另一實施例中,基板支撐件在兩個相等、且相反的傾斜角度(例如,+45°、及-45°)之間傾斜,且不使用旋轉。如提及,應使基板在兩個方位之間切換,使得離子從兩個相等、且相反的角度撞擊在基板上。
可選地,離子束可相關於基板而在一方向上傾斜,然後在另一方向上傾斜,以完成循環。各種機械機構、電機構、磁機構等可用於使離子源方向傾斜。一種可使用的方法涉及使用一或更多磁場,以使離子軌道如期望般彎曲。在許多情形中,離子軌道在存在磁場時,係彎曲成弧形。離子軌道的彎曲半徑係等於mv/(qB),其中m係離子的質量、V係離子的速度、q係離子的電荷、且B係磁場強度。因此,藉由改變磁場強度,以及如此之場所施加的範圍,離子軌道可如期望般受到修正,從而以特定的入射角度打擊基板。在某些其他的實施例中,離子軌道係透過靜電場、而非磁場的使用而受到相似的改變。相似地,可使用磁場及靜電場的組合。圖6A及6B顯示使用磁場及/或靜電場調整離子軌道實施例。在該等實施例中,使用兩個偏轉區域。在其他實施例中,可使用三或更多偏轉區域,其可簡化某些光學相關的問題。對比於圖6B的實施例,圖6A的實施例中使用較強的場,因此離子軌道在每一偏轉區域中係改變至較大的程度。
無論使用何者機構來使基板或離子束定向,其應能夠相關於離子束使基板以高程度之精確度定位於期望的傾斜/旋轉角度(例如,傾斜角度準確度在約5°內,且旋轉角度準確度在約5°內)。如此的定位準確度有助於確保通道係受蝕刻至期望的形狀。在一些情形中,如以下進一步討論,反饋迴路可用於控制離子撞擊基板表面的角度。
為控制旋轉角度,可使用定位系統。如此系統可在基板上指定/定義不同的角度位置(例如,0°、1°、…359°),並且可根據該等位置追蹤基板的旋轉。在一些情形中,旋轉可透過光學方法而加以追蹤。舉例而言,基板固持器可具有在基板旋轉時可藉由光學系統而追蹤的複數標記(例如,360個標記,每一者隔開1°或更少,然而可使用任何適當數量的標記)。在一些情形中可使用光學編碼器。在其他情形中,步進器馬達係用於旋轉基板。步進器馬達可如此處所描述般旋轉基板,隨著時間精確地控制基板的角度位置。步進器馬達可將完整的旋轉步驟劃分為許多相等的步驟(例如,360個步驟,每一者隔開1°或更少,然而可使用任何適當數量的步驟)。馬達的位置、以及因此基板固持器及基板的角度位置可在不需要任何反饋感測器的條件下,受控成在指定的步驟之間移動。亦可使用其他旋轉定位系統,只要其容許角度/旋轉位置在相關的旋轉速度時受到精確地追蹤。
返回至圖3A-3I中顯示的處理流程,該製程在圖3D處持續進行。形成通道302後,移除已圖案化遮罩層301。許多不同的製程可用於移除已圖案化遮罩層301。在圖3D所顯示的實施例中,執行選擇性蝕刻,以在不移除(或最少地移除)通道302的條件下移除已圖案化遮罩層301。此蝕刻製程亦可在某種程度上蝕刻STI結構305,但此蝕刻係極小的,這是因為已圖案化遮罩層301由於被移除的材料量少而快速地被移除。在另一實施例中,已圖案化遮罩層301可透過在沉積犧牲材料(例如,矽氧化物)直至已圖案化遮罩層301之高度後的化學機械拋光(CMP,chemical mechanical polishing)而移除。
在各種實施例中,期望將通道302形成為較圓的形狀。使通道302變圓有助於移除在蝕刻期間特別可能已受到離子損壞的部分通道。可透過暴露至電漿處理使通道變圓。在一些情形中,電漿處理可涉及透過暴露至含氟電漿的等向性蝕刻。亦可酌情使用其他化學成分。亦可透過涉及通道302之熱氧化、所導致之外部氧化物材料之後續移除的製程而使通道變圓。
接下來,如圖3F(俯視圖)與3G(側視圖)中顯示,一層犧牲材料307係沉積在通道302的範圍。犧牲材料307可透過CMP而平坦化。犧牲材料307可為能夠在不移除通道302的條件下而加以移除的任何適當材料。在一些情形中,犧牲材料307係氧化物(例如,矽氧化物)、氮化物(例如,矽氮化物),並且在其他情形中,犧牲材料307係有機材料。可酌情使用其他材料。如圖3H中顯示,犧牲材料307的目的係在半導體材料303被蝕掉的時候保護通道302。將半導體材料303蝕掉,使得在通道302預與下方的半導體材料303之間有某一距離,並且使得在鄰近的裝置之間有所分隔(如此分隔係藉由STI結構305而提供)。該蝕刻一般係等向性蝕刻。若通道302與半導體材料303之間的距離過小,則在通道周圍形成的閘極(未顯示)將經受半導體材料303的電容,該電容導致產生高於期望的閘極電容。如此,最下列通道與下方半導體層之間的距離可為至少約50nm。在一些情形中,該距離係約100-1000nm之間。如圖3I中顯示,在回蝕半導體材料303後,可移除犧牲材料307。通常犧牲材料係透過等向性氧化物蝕刻而加以移除的氧化物。
在某些情形中,相關於圖3C及4A-4D所描述的非等向性蝕刻製程可透過反饋迴路而受到監控及控制。舉例而言,入射角度及射束發散度都可透過反饋迴路而受到控制。關於入射角度,基板台上的位置感測器可以約± 3°之間的精確度而受到監控及控制,例如約± 1°或± 0.1°之間。射束發散度可藉由位於基板台上的射束發散度感測器而受到監控及控制。射束發散度可受監控及控制至約4°FWHM,例如至約1°FWHM。使用相對低的能量離子通常係有益的,使得離子對基板上損壞(例如,離子對正形成之通道上的損壞)係最小化的。可需要1000eV或更少的離子能量,以防止通道上過度的離子損壞。對一些損壞敏感的裝置而言,可需要200eV或更少的離子能量,或者在一些情形中為100eV或更少。關於離子入射角度,可使用許多不同的角度。在一些情形中,入射角度在約± 75°之間變化,例如約± 15°之間、或約± 3°之間。
如以上所提及,所產生之通道列的數量可受到射束發散度的限制,且較不發散的(或者,較高準直的)射束係能夠形成較大數量的通道列。影響離子束發散度的因素包含所使用之電漿源的設計(例如,電漿上的複數孔、及施加至電極的偏壓)、以及離子束中離子的能量。低能量離子行進得較慢,且因此具有較大的機會由於排斥力而使自身散開,因此導致更為發散的射束。低能量離子就其對基板導致較少損壞方面而言係有益的。如此,在低能量離子導致較少基板損壞、卻導致較發散射束(該較發散射束不能產生如此多的通道列)的條件下,關於最佳離子能量便有所權衡。
影響可產生之通道列數量的另一因素係下電極與基板之間的距離(例如,圖1中電極113與基板101之間的距離)。一般地,離子源與基板之間較長的距離容許產生較大數量的通道列。在一些情形中,下電極之下表面與基板之上表面之間的距離係約5-50cm之間,例如約10-20cm之間。遺憾地,較大的分隔距離亦導致離子之較長的行進時間。如提及,低能量離子在其於離子束中一起行進時,彼此排斥,且此問題在較長的行進時間/分隔距離的情形中,變得更有問題。抵抗此效應的方法係使用更高的能量/更快的行進離子、並且基板正向偏置,使得離子最初快速地行進,且隨著其接近基板而減速。舉例而言,可使下電極正向偏置(例如,+1000V),並且可使基板稍微較低程度地正向偏置(+995V)。 離子係相對高能量的離子,並且以高速度行進通過下電極與基板之間的大部分距離,當其來到接近基板的末端時而減速。此方法可有助於達成具有低發散度的射束,該低發散度的射束可用於產生若干通道列。
圖5A-5G呈現形成GAA結構的例示性處理流程。此處理流程係在Sleight, J.W. et. al., 「Gate-all-around silicon nanowire MOSFETs and circuits」 Device Research Conference (DRC), 2010, pp. 269-272, 21-23 June 2010中加以描述。在此處各種實施例中,如參考圖3A-3G、及4A-4D所描述,使用不同、但相似的處理流程來形成GAA裝置。尤其,以上所描述的離子束蝕刻製程及相關處理流程可用於形成通道(或,通道陣列),以達成相似於圖5C之結構的結構。然後可承受圖5D-5G中所顯示的其他操作(或另一處理流程),以形成完整的GAA結構。
圖5A中,將矽奈米線502(其最終充當通道)定義於區域504與506(其最終分別地充當源極區與汲極區)之間。圖5B中,承受涉及H2 退火的無遮罩細化/平滑化,以細化奈米線502。圖5C中,奈米線502係透過氧化操作而在尺寸上進一步降低。就此而言,部分製造的裝置包含三個奈米線502,其將在結束的裝置中充當通道。在此處各種實施例中,如以上描述,涉及使用特定離子衝擊角度之離子束蝕刻的方法係用於形成類似圖5C中顯示之結構的結構。在許多情形中,形成導線/通道陣列。然後,為形成GAA裝置,透過所揭露方法形成的結構可如圖5D-5G中所顯示般進一步加以處理。當然,可酌情使用其他處理方法,並且所揭露實施例係不限於形成完整GAA結構的特定處理流程。
返回至圖5A-5G的實施例,圖5D中,奈米線502係利用各種介電材料512、514、及516而塗佈,並且定義閘極508。設置介電材料512、514、及516,以防止奈米線502與閘極508之間直接的實體接觸。在此範例中,奈米線502係利用矽氧化物512(例如,二氧化矽)而塗佈,該矽氧化物係利用Hf基介電材料514而塗佈,該介電材料514係利用鉭氮化物516而塗佈。閘極508由覆蓋層510而覆蓋。舉例而言,閘極508可由多晶矽製成,且覆蓋層510可由矽氮化物製成。接下來,在圖5E中,形成間隔件層518。間隔件層518可為例如係氮化物。然後,如圖5F中顯示,磊晶矽520係在形成源極及汲極的區域504及506的範圍形成。磊晶矽520也在奈米線502的暴露區域的範圍形成,使得閘極508外側區域中的奈米線更厚。增加的厚度有助於降低通過奈米線502的阻值。接下來,矽化物522係形成在如圖5G中所顯示的各種區域上。從矽化物的形成至第一金屬位準的處理步驟係省略的,然而如此步驟對此領域具有通常技術者而言係熟悉的。在各種實施例中,如以上描述所形成的通道層、或通道陣列係如圖5D-5G顯示般進一步加以處理,以形成環繞式閘極裝置。 設備及系統控制器
此處描述的方法可藉由任何適當的設備而加以執行。適當的設備包含用以實現製程操作的硬體、以及具有根據本發明控制製程操作之指令的系統控制器。圖1中顯示例示性設備。在一些實施例中,硬體可包括包含於處理工具中的一或更多處理作業站。
在一些實施例中,系統控制器(其可包含一或更多實體控制器、或邏輯控制器)控制處理工具之操作的一些或全部者。系統控制器典型地將包含一或更多記憶體裝置、及一或更多處理器。處理器可包含中心處理單元(CPU, central processing unit)或電腦、類比及/或數位輸入/輸出連接、步進器馬達控制器板、及其他類似元件。用以實施適當控制操作的指令係在處理器上加以執行。該等指令可被儲存於與控制器相關的記憶體裝置上,或者該等指令可經由網路而提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包含用以控制以下者的指令:計時、電解質組分的混合物、入口壓力、電鍍元件壓力、電鍍元件溫度、晶圓溫度、施加至晶圓及任何其他電極的電流及電位、晶圓位置、晶圓旋轉、晶圓浸入速度、以及藉由處理工具而執行之特定製程的其他參數。系統控制軟體可以任何適當的方式加以配置。舉例而言,可撰寫各種處理工具元件子程序或控制物件,以控制對實行各種處理工具製程而言有必要之處理工具元件的操作。系統控制軟體可以任何適當的電腦可讀程式語言而進行編碼。
在一些實施例中,系統控制軟體包含用以控制以上描述之各種參數的輸入/輸出控制(IOC, input/output control)序列指令。舉例而言,電鍍製程的每一階段可包含由系統控制器加以執行的的一或更多指令。用以針對浸入製程階段設定製程條件的指令可包含於相對應的浸入配方階段中。在一些實施例中,可順序地設置電鍍配方階段,使得針對電鍍製程階段的所有指令係與該製程階段同時地加以執行。
在一些實施例中,可採用其他的電腦軟體及/或程序。針對此目的之程式或部分程式的範例包含晶圓定位程式、電解質組分控制程式、壓力控制程式、加熱器控制程式、及電位/電流電源控制程式。
在一些情形中,控制器控制以下功能的一或更多者:晶圓定位(移動、傾斜、旋轉)、離子束電壓、離子束發散度、電子泛射槍參數等。舉例而言,晶圓定位可藉由引導晶圓上升組件、晶圓傾斜組件、及晶圓旋轉組件如期望般移動而加以控制。控制器可控制電極上的電壓,以控制離子電壓、及離子聚焦。控制器可控制基於感測器輸出(例如,電流、電流密度、電位、壓力等達到某一臨界值的時間)、操作計時(例如,在製程中某些時間點打開複數閥)、或基於自使用者接受之指令的該等實施態樣。
以上描述之各種硬體和方法實施例可結合微影圖案化工具或製程而加以使用,例如,用於製造或加工半導體裝置、顯示器、LED、光電板、及類似物。儘管並非必然,但典型地,如此工具/製程將於共同的製造設施中一起使用或進行。
膜的微影圖案化典型地包含以下步驟的一些或全部者,每一步驟係利用數個可能的工具而得以進行:(1)使用旋塗、或噴塗工具而將光阻施加至工件上,例如,具有矽氮化物膜形成於其上的基板;(2)使用熱板、或爐、或其他適當固化工具來固化光阻;(3)利用如晶圓步進器的工具將光阻暴露至可見光、或紫外線光、或X射線光;(4)使光阻顯影,以選擇性地移除光阻,並且從而使用如濕檯、或噴塗顯影器的工具而使其圖案化;(5)藉由使用乾式、或電漿輔助式蝕刻工具而將光阻圖案轉移至下層膜、或工件中;以及(6)使用如射頻或微波電漿光阻剝離器的的工具移除光阻。在一些實施例中,可於施加光阻之前而沉積可灰化的硬遮罩層(如非晶碳層)、及另一適當的硬遮罩(例如抗反射層)。
應該理解的是,此處所述之配置、及/或方法本質上係例示性的,且該等具體實施例、或範例並不以限制的意義而考量,因為許多變化係有可能的。此處所描述之具體程式、或方法可代表任何數目之處理策略的其中一者、或更多者。如此,所說明的各種動作可以所說明之順序、其他順序、平行方式而加以執行,或者在一些情形中被省略。同樣地,以上所述之製程的順序可以改變。
本揭示內容的標的包含此處所揭露之以下者的所有新穎的、且非顯而易見的組合、及子組合、及其任何的及所有的等效物:各種製程、系統及配置、及其他特徵部、功能、動作、及/或特性。
100‧‧‧裝置
101‧‧‧基板
103‧‧‧基板支撐件
105‧‧‧初級電漿產生區
107‧‧‧電漿源
109‧‧‧電極
110‧‧‧孔
111‧‧‧電極
113‧‧‧電極
115‧‧‧基板處理區
202‧‧‧通道
204‧‧‧源極區
206‧‧‧汲極區
208‧‧‧閘極
301‧‧‧已圖案化遮罩層
302‧‧‧通道
303‧‧‧半導體材料
305‧‧‧STI結構
307‧‧‧犧牲材料
401‧‧‧已圖案化遮罩層
403‧‧‧半導體材料
440‧‧‧凹槽
441‧‧‧凹槽
445‧‧‧第一通道層
446‧‧‧第二通道層
447‧‧‧通道
502‧‧‧奈米線
504‧‧‧區域
506‧‧‧區域
508‧‧‧閘極
510‧‧‧覆蓋層
512‧‧‧介電材料(矽氧化物)
514‧‧‧介電材料(Hf基介電材料)
516‧‧‧介電材料(鉭氮化物)
520‧‧‧磊晶矽
522‧‧‧矽化物
圖1提供可用於某些實施例中的離子束蝕刻腔室的簡化視圖。
圖2說明環繞式閘極裝置。
圖3A-3I根據某些實施例,描述經受形成環繞式閘極裝置之各種處理步驟的部分製造半導體裝置。
圖4A-4D說明經受形成環繞式閘極裝置之通道的非等向性蝕刻製程的部分製造半導體裝置。
圖5A-5G說明形成環繞式閘極裝置的某些處理步驟。
圖6A與6B顯示透過靜電場及/或磁場的使用而受傾斜的離子軌道。
401‧‧‧已圖案化遮罩層
403‧‧‧半導體材料
440‧‧‧凹槽
441‧‧‧凹槽
445‧‧‧第一通道層
446‧‧‧第二通道層
447‧‧‧通道

Claims (23)

  1. 一種形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,該方法包含: (a)  在一反應腔室中之一基板固持器上提供一基板,該基板包含半導體材料之上的一已圖案化遮罩層,其中該已圖案化遮罩層包含實質上平行於彼此而定向的複數線性遮罩部; (b) 使該基板相關於一離子軌道在一第一方位上定向,並且將離子在一第一方向上引導至該基板,其中該離子以一第一入射角度衝擊該基板,從而非等向性地蝕刻該半導體材料,以形成一第一組凹槽; (c)  使該基板相關於該離子軌道在一第二方位上定向,並且將離子在一第二方向上引導至該基板,其中該離子以一第二入射角度衝擊該基板,從而非等向性地蝕刻該半導體材料,以形成一第二組凹槽; (d) 重複(b)-(c),以進一步蝕刻該第一及第二組凹槽,以在平行於該複數線性遮罩部的一方向上形成該通道或奈米線。
  2. 如申請專利範圍第1項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中形成一陣列之通道或奈米線,該陣列包含至少一列的通道或奈米線。
  3. 如申請專利範圍第1項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該離子係以具有約3°或更小發散度的複數離子束的形式而加以傳送。
  4. 如申請專利範圍第3項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該離子係以具有約1°或更小發散度的複數離子束的形式而加以傳送,並且其中形成一陣列之通道或奈米線,該陣列包含至少三列的通道或奈米線。
  5. 如申請專利範圍第1項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,更包含在(b)及(c)中於該基板暴露於該離子時,移動該基板。
  6. 如申請專利範圍第1項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,更包含在切換該基板相關於該離子軌道的方位時,停止該離子的通量。
  7. 如申請專利範圍第1項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該第一方向及第二方向係各垂直於該線性遮罩部的長度。
  8. 如申請專利範圍第7項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該第一方向及第二方向係實質上彼此相反。
  9. 如申請專利範圍第8項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該第一及第二入射角度係實質上相等,且彼此相反。
  10. 如申請專利範圍第1-9項其中任一項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,更包含在(b)期間及(c)期間,傳送一反應性蝕刻劑至該基板的表面。
  11. 如申請專利範圍第10項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該反應性蝕刻劑包含選自由以下者組成之群組的一氣體:H2 、O2 、H2 O、F2 、CH4 、CF4 、C2 F6 、BF3 、NH3 、NO、NO2 、SF6 、N2 、N2 O、BCl3 、CHF3 、CH2 F2 、C2 H4 Cl2 、HCl、Cl2 、Br2 、HBr、甲醇、乙醇、丙醇、丁醇、甲烯乙二醇、乙烯乙二醇、乙醯丙酮、乙酸、甲酸、六氟戊二酮(hexafluoroacetylacetone)、酮、胺、酯、及其組合。
  12. 如申請專利範圍第10項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該反應性蝕刻劑係與一惰性氣體一起提供,並且其中該惰性氣體係用於產生一電漿,該離子係自該電漿而抽取。
  13. 如申請專利範圍第10項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中(b)及(c)各包含循環地執行以下之步驟:傳送該反應性蝕刻劑至該基板的表面、以及使該基板的表面暴露至該離子。
  14. 如申請專利範圍第13項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中每次切換該基板相關於該離子軌道的方位時,執行至少兩個循環的反應物傳送與離子暴露。
  15. 如申請專利範圍第1-9項其中任一項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中在該通道或奈米線的形成期間,切換該基板相關於該離子軌道的方位至少約2次。
  16. 如申請專利範圍第1-9項其中任一項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,更包含在(d)之後,蝕刻該通道或奈米線,以使該通道或奈米線更圓。
  17. 如申請專利範圍第16項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,更包含:移除該已圖案化遮罩層;在該通道或奈米線周圍沉積犧牲材料;執行一等向性蝕刻,以移除定位於該通道或奈米線下方之額外的半導體材料,以及執行一蝕刻,以移除該通道或奈米線周圍的該犧牲材料。
  18. 如申請專利範圍第17項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,更包含:在移除該犧牲材料後,沉積介電質材料以塗佈該通道或奈米線;以及在已塗佈的該通道或奈米線周圍沉積一閘極結構,以形成一環繞式閘極裝置。
  19. 如申請專利範圍第10項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中該反應性蝕刻劑包含選自由以下者組成之群組的一材料的離子:H、O、F、Cl、Br、I、BF2 、BCl2 、及其組合。
  20. 如申請專利範圍第1-9項其中任一項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中在(b)及/或(c)中相關於該離子軌道定向該基板包含:(i)改變該基板的方位、以及/或者(ii)改變該離子軌道的方位。
  21. 一種在半導體的基板上形成環繞式閘極裝置或其他電子裝置之通道或奈米線的設備,該設備包含: 一反應腔室; 一電漿源; 一機構,其係用以藉由以下者使該基板相關於一離子軌道在至少一第一方位上及一第二方位上定向:(i)定向該基板、及/或(ii)定向該離子軌道,該基板包含一已圖案化遮罩層下方的半導體材料,該已圖案化遮罩層包含複數線性遮罩部;以及 一控制器,其包含用於下列者的指令: (a)  使該基板相關於該離子軌道在該第一方位上定向,並且將離子在一第一方向上引導至該基板,其中該離子以一第一入射角度衝擊該基板,從而非等向性地蝕刻該基板上的該半導體材料,以形成一第一組凹槽; (b) 使該基板相關於該離子軌道在一第二方位上定向,並且將離子在一第二方向上引導至該基板,其中該離子以一第二入射角度衝擊該基板,從而非等向性地蝕刻該半導體材料,以形成一第二組凹槽; (c)  重複(a)-(b),以進一步蝕刻該第一及第二組凹槽,以在平行於該複數線性遮罩部的一方向上形成該通道或奈米線。
  22. 一種形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,該方法包含: (a)  在一反應腔室中提供一基板於一基板固持器上,該基板包含半導體材料之上的一已圖案化遮罩層,其中該已圖案化遮罩層包含實質上平行於彼此而定向的複數線性遮罩部; (b) 使該基板相關於一離子軌道在一第一方位上定向,並且將離子在一第一方向上引導至該基板,該複數線性遮罩部保護複數的第一已遮蔽區域,其中該離子以一第一入射角度衝擊該基板,從而蝕刻該半導體材料,以在鄰近的第一已遮蔽區域之間形成一第一組凹槽; (c)  使該基板相關於該離子軌道在一第二方位上定向,並且將離子在一第二方向上引導至該基板,該複數線性遮罩部保護複數的第二已遮蔽區域,其中該離子以一第二入射角度衝擊該基板,從而蝕刻該半導體材料,以在鄰近的第二已遮蔽區域之間形成一第二組凹槽; (d) 重複(b)-(c),以進一步蝕刻該第一及第二組凹槽,其中該第一及第二組凹槽彼此相交,其中該第一已遮蔽區域與第二已遮蔽區域彼此相交,且其中於該第一及第二已遮蔽區域彼此相交的範圍中,在平行於該複數線性遮罩部的方向上形成該通道或奈米線。
  23. 如申請專利範圍第22項之形成環繞式閘極裝置或其他電子裝置之通道或奈米線的方法,其中在(b)及/或(c)中使該基板相關於該離子軌道的定向包含:(i)定向該基板、及/或(ii)定向該離子軌道。
TW104134288A 2014-10-21 2015-10-20 使用離子束蝕刻以產生環繞式閘極結構 TWI687962B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/520,070 2014-10-21
US14/520,070 US9536748B2 (en) 2014-10-21 2014-10-21 Use of ion beam etching to generate gate-all-around structure

Publications (2)

Publication Number Publication Date
TW201628045A true TW201628045A (zh) 2016-08-01
TWI687962B TWI687962B (zh) 2020-03-11

Family

ID=55749607

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104134288A TWI687962B (zh) 2014-10-21 2015-10-20 使用離子束蝕刻以產生環繞式閘極結構

Country Status (4)

Country Link
US (2) US9536748B2 (zh)
KR (1) KR102525801B1 (zh)
CN (1) CN105575795B (zh)
TW (1) TWI687962B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI698911B (zh) * 2017-12-26 2020-07-11 南韓商Lg化學股份有限公司 利用法拉第盒的電漿蝕刻製程方法
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
TWI820468B (zh) * 2020-09-30 2023-11-01 台灣積體電路製造股份有限公司 半導體的製造方法及半導體製程系統
TWI821470B (zh) * 2018-12-07 2023-11-11 美商應用材料股份有限公司 電漿源、圖案化基板的方法以及基板處理系統

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9786602B2 (en) * 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9812349B2 (en) * 2015-12-01 2017-11-07 Lam Research Corporation Control of the incidence angle of an ion beam on a substrate
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11565936B2 (en) 2016-05-25 2023-01-31 The Regents Of The University Of Colorado Atomic layer etching on microdevices and nanodevices
US10381231B2 (en) 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
US10109498B2 (en) * 2016-08-09 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Composite patterning mask using angled ion beam deposition
KR102595286B1 (ko) 2016-09-05 2023-10-31 삼성전자주식회사 시간에 따라 연속적으로 제어되는 파라미터를 포함하는 이온 빔 장비, 이를 이용한 패턴 형성 방법, 및 이를 이용한 자기 기억 소자의 제조방법
US20190273133A1 (en) * 2016-12-14 2019-09-05 Intel Corporation Transistor source/drain amorphous interlayer arrangements
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
US10170304B1 (en) 2017-10-25 2019-01-01 Globalfoundries Inc. Self-aligned nanotube structures
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10354874B2 (en) * 2017-11-14 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Directional processing to remove a layer or a material formed over a substrate
US10598832B2 (en) * 2018-01-09 2020-03-24 Varian Semiconductor Equipment Associates, Inc. System and method for forming diffracted optical element having varied gratings
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
US20190393053A1 (en) * 2018-06-20 2019-12-26 Applied Materials, Inc. Etching apparatus
US11137536B2 (en) * 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
JP7447118B2 (ja) * 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
EP4100987A4 (en) * 2020-02-07 2024-03-06 Applied Materials Inc ENGRAVING IMPROVEMENT
US11462414B2 (en) * 2021-03-08 2022-10-04 Tokyo Electron Limited Atomic layer etching of metal oxides

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) * 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) * 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP2005004068A (ja) * 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
WO2005043115A2 (en) 2003-10-20 2005-05-12 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2006310633A (ja) * 2005-04-28 2006-11-09 Tokyo Electron Ltd 基板処理装置、基板処理方法、プログラム及び記憶媒体
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) * 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) * 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8603591B2 (en) * 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
SG10201401425RA (en) 2009-04-13 2014-08-28 Applied Materials Inc Modification of magnetic properties of films using ion and neutral beam implantation
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
WO2012027330A1 (en) 2010-08-23 2012-03-01 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
WO2012047914A2 (en) 2010-10-05 2012-04-12 Veeco Instruments, Inc. Grid providing beamlet steering
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
WO2013177576A1 (en) * 2012-05-25 2013-11-28 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) * 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) * 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI698911B (zh) * 2017-12-26 2020-07-11 南韓商Lg化學股份有限公司 利用法拉第盒的電漿蝕刻製程方法
US11462393B2 (en) 2017-12-26 2022-10-04 Lg Chem, Ltd. Plasma etching method using faraday cage
TWI821470B (zh) * 2018-12-07 2023-11-11 美商應用材料股份有限公司 電漿源、圖案化基板的方法以及基板處理系統
US11967489B2 (en) 2018-12-07 2024-04-23 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
TWI820468B (zh) * 2020-09-30 2023-11-01 台灣積體電路製造股份有限公司 半導體的製造方法及半導體製程系統

Also Published As

Publication number Publication date
US20170062181A1 (en) 2017-03-02
CN105575795B (zh) 2019-02-19
TWI687962B (zh) 2020-03-11
KR20160047399A (ko) 2016-05-02
CN105575795A (zh) 2016-05-11
US9536748B2 (en) 2017-01-03
US10483085B2 (en) 2019-11-19
KR102525801B1 (ko) 2023-04-25
US20160111294A1 (en) 2016-04-21

Similar Documents

Publication Publication Date Title
TWI687962B (zh) 使用離子束蝕刻以產生環繞式閘極結構
US10998167B2 (en) Ion beam etch without need for wafer tilt or rotation
US9257295B2 (en) Ion beam etching system
US10580628B2 (en) Differentially pumped reactive gas injector
JP6461482B2 (ja) 半導体製造用の内部プラズマグリッド
US11289306B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
TW201528310A (zh) 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
WO2017019334A1 (en) Apparatus and method for carbon film deposition profile control