CN105575795A - 利用离子束刻蚀产生环栅结构 - Google Patents

利用离子束刻蚀产生环栅结构 Download PDF

Info

Publication number
CN105575795A
CN105575795A CN201510684338.8A CN201510684338A CN105575795A CN 105575795 A CN105575795 A CN 105575795A CN 201510684338 A CN201510684338 A CN 201510684338A CN 105575795 A CN105575795 A CN 105575795A
Authority
CN
China
Prior art keywords
substrate
ion
raceway groove
orientation
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510684338.8A
Other languages
English (en)
Other versions
CN105575795B (zh
Inventor
伊凡·L·贝瑞三世
索斯藤·利尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105575795A publication Critical patent/CN105575795A/zh
Application granted granted Critical
Publication of CN105575795B publication Critical patent/CN105575795B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching for evaporating or etching for microworking, e. g. etching of gratings or trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明涉及利用离子束刻蚀产生环栅结构。本发明的各个实施方式涉及用于执行各向异性离子束蚀刻以形成沟道阵列的方法和装置。沟道可以在半导体材料中形成,并且可用于环栅器件。一般来说,在半导体材料层上提供图案化掩模层。离子被引导朝向衬底,而衬底被置于相对于该离子轨迹而言的两个特定的方位上。衬底在这些方位之间切换,以使得离子从两个相反的角度撞击衬底。该图案化掩模层遮蔽/保护下面的半导体材料,使得在交叉的遮蔽区形成沟道。

Description

利用离子束刻蚀产生环栅结构
技术领域
本发明总体上涉及半导体领域,具体涉及利用离子束刻蚀产生环栅(gate-all-around)结构。
背景技术
集成电路持续以日益变小的尺寸来制造。然而,诸如场效应晶体管(FET)的某些半导体器件尺寸小却会导致某些问题。例如,短沟道长度可导致短沟道效应,其中,源区和/或漏区在沟道或沟道的载波上施加不适当的影响。这些短沟道效应能够降低栅极对沟道的控制能力。有利的是,当使用三维器件替代平面器件时,这些影响减少。例如,当使用鳍片型场效应晶体管(FinFET)的情况下,栅极增加对沟道的控制,这是因为栅极包围了沟道的四个侧面的3个(即,栅极接触沟道的两个侧面和沟道的顶面)。同样,环栅(GAA)结构通过在沟道的各个面缠绕栅极材料实现了改进的控制。通过以这种方式包围沟道,栅极可以对沟道施加更严格地控制,从而更可靠地调节对器件的通/断状态。
发明内容
本文的某些实施方式涉及用于在环栅器件中形成沟道的方法和装置。该沟道可以在具有几排沟道阵列中形成。在各种情况下可以使用反应离子束刻蚀或化学辅助离子束蚀刻。
在本文的实施方式的一个方面,提供了一种用于为环栅器件或其它电子器件形成沟道(channel)或纳米线的方法,该方法包括:(a)在反应室中的衬底支架上提供衬底,该衬底包括在半导体材料上方的图案化掩模层,其中所述图案化掩模层包括定位成基本上彼此平行的多个线性掩模部分;(b)相对于离子轨迹将衬底定位在第一方位上,以及引导离子在第一方向上朝向衬底,其中所述离子以第一入射角撞击衬底,从而各向异性地蚀刻半导体材料,以形成第一组蚀刻的沟槽;(c)相对于离子轨迹将衬底定位在第二方位上,并引导离子在第二方向上朝向衬底,其中所述离子以第二入射角撞击衬底,从而各向异性地蚀刻半导体材料,以形成第二组沟槽;(d)重复(b)-(c),以进一步蚀刻第一组沟槽和第二组沟槽,以在平行于所述多个线性掩模部的方向上形成沟道或纳米线。
在多种实施方式中,形成沟道阵列,沟道阵列包括一排或多排沟道,例如两排或多排沟道。离子可以以离子束输送,离子束可以设置为特定发散度。在一些实施方式中,离子束具有约3°或更小的发散度。在某些情况下,离子束具有约1°或更小的发散度,并形成沟道或纳米线阵列,所述阵列包括至少三排沟道或纳米线。
该方法还可以包括在(b)和/或(c)中将离子暴露于衬底的同时,平移所述衬底。在某些情况下,该方法还可以包括在衬底相对于所述离子的轨迹切换方位的同时,停止离子的通量。
离子可以引导为以特定的角度朝向衬底。在各种情况下,第一方向和第二方向分别都垂直于线性掩模部分的长度。第一方向和第二方向可以基本上彼此方向相反。在一些情况下,第一入射角和第二入射角基本相等,并且彼此相反。
可以通过许多不同的反应机理来进行蚀刻。在某些情况下,该方法包括在(b)期间和在(c)期间向衬底的表面输送反应性蚀刻剂。例如,反应性蚀刻剂可以包括从由H2、O2、H2O、F2、CH4、CF4、C2F6、BF3、NH3、NO、NO2、SF6、N2、N2O、BCl3、CHF3、CH2F2、C2H4Cl2、HCl、Cl2、Br2、HBr、甲醇、乙醇、丙醇、丁醇、亚甲基二醇、乙二醇、乙酰丙酮、乙酸、甲酸、六氟乙酰丙酮、酮类、胺类、酯类、以及它们的组合组成的组中选择的气体。在某些实施方式中,反应性蚀刻剂包括从由H、O、F、Cl、Br、I、BF2、BCl2以及它们的组合组成的组中选择的材料的离子。
反应性蚀刻剂可以与惰性气体一起提供,其中惰性气体是用来生成等离子体,离子从该等离子体中提取。在某些实施方式中,(b)和(c)每一个都包括循环性地执行以下步骤:向衬底的表面上提供反应性蚀刻剂,并且将衬底的表面暴露于离子。循环可以在蚀刻期间重复进行。举例来说,在一些情况下,每当在衬底相对于离子轨迹切换方位时,执行至少两个循环的反应物输送和离子暴露。在这些或其它实施方式中,衬底相对于所述离子轨迹的方位可以在形成沟道的过程中切换2次或更多次。在某些情况下,衬底相对于离子轨迹的方位可以在沟道形成期间切换5次或更多次,例如10次或10次以上。在(b)和/或(c)中的相对于离子轨迹定位衬底可以包括:(i)改变衬底的方位和/或(ii)改变离子轨迹的方位。
可以进行若干附加的处理步骤,以形成沟道或纳米线和/或形成环栅器件或其它电子器件。在一些实施方式中,该方法包括:在(d)之后,蚀刻所述沟道或纳米线,以使沟道或纳米线更圆。该方法还可以包括:移除所述图案化掩模层;沉积围绕沟道的牺牲材料(sacrificialmaterial);进行各向同性蚀刻,以除去位于沟道下方的额外的半导体材料,并进行蚀刻,以除去围绕所述沟道的牺牲材料。在一些实施方式中,在牺牲材料被移除后,可沉积介电材料,以涂覆沟道。该方法可进一步包括在经涂覆的沟道周围沉积栅极结构,以形成环栅器件。
在所公开的实施方式的另一个方面,提供了一种用于为半导体衬底上的环栅器件或另一个电子器件形成沟道或纳米线的装置,所述装置包括:反应室;等离子体源;衬底支撑件,其构造成将衬底定位在至少第一方位和第二方位上,该衬底包括在包括多个线性掩模部分的图案化掩模层下的半导体材料;和包括用于以下操作的指令的控制器:(a)相对于离子轨迹将衬底定位在第一方位上,并引导离子在第一方向上朝向衬底,其中所述离子以第一入射角撞击衬底,从而各向异性地蚀刻衬底上的半导体材料,以形成第一组沟槽;(b)相对于离子轨迹将衬底定位在第二方位上,并引导离子在第二方向上朝向衬底,其中所述离子以第二入射角撞击衬底,从而各向异性地蚀刻半导体材料,以形成第二组沟槽;(c)重复(a)-(b),以进一步蚀刻第一组沟槽和第二组沟槽,以在平行于所述多个线性掩模部的方向上形成沟道。
控制器可以进一步具有执行任何本文所公开的方法的指令。在某些实施方式中,该装置还包括放置在反应室中的多个电极,每一个电极都有多个孔。
在所公开的实施方式的另一个方面,提供了一种为环栅器件或其它电子器件形成沟道或纳米线的方法,该方法包括:(a)在反应室中的衬底支架上提供衬底,该衬底包括在半导体材料上方的图案化掩模层,其中所述图案化掩模层包括定位成基本上彼此平行的多个线性掩模部分;(b)相对于离子轨迹将衬底定位在第一方位上,并引导离子在第一方向上朝向衬底,所述多个线性掩模部分保护多个第一阴影区,其中所述离子以第一入射角撞击衬底,从而蚀刻半导体材料,以在相邻的第一阴影区之间形成第一组沟槽;(c)相对于离子轨迹将衬底定位在第二方位上,并引导离子在第二方向上朝向衬底,所述多个线性掩模部分保护多个第二阴影区,其中所述离子以第二入射角撞击衬底,从而蚀刻半导体材料,以在相邻的第二阴影区之间形成第二组沟槽;(d)重复(b)-(c),以进一步蚀刻第一组沟槽和第二组沟槽,其中第一组沟槽和第二组沟槽彼此交错,其中第一阴影区和第二阴影区彼此交错,并且其中在平行于所述多个线性掩模部分的方向上、在第一阴影区和第二阴影区彼此交错的区域形成沟道或纳米线。
将在下文参照相关附图说明这些和其它的特征。
附图说明
图1提供了可在某些实施方式中使用的离子束蚀刻室的简化视图。
图2示出了一个环栅器件。
图3A-图3I示出了在经历了根据某些实施方式形成环栅器件的多个处理步骤时的部分制造的半导体器件。
图4A-图4D示出了在经历了各向异性蚀刻工艺以形成用于环栅器件的沟道时的部分制造的半导体装置。
图5A-图5G示出了形成环栅器件的某些处理步骤。
图6A和图6B示出了通过利用静电和/或磁场被倾斜的离子轨迹。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员将会理解,术语“部分制造的集成电路”可以指在其上面进行集成电路制造的许多阶段中的任何阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200毫米或300毫米或450毫米的直径。下面的详细描述假设本发明是在晶片上实现的。然而,本发明并不限于此。工件可以是具有各种形状、尺寸和材料。除半导体晶片外,可利用本发明的优点的其它工件包括诸如印刷电路板、磁记录介质、镜子、光学元件、光电器件、微机械器件等各种制品。
在下面的说明中,许多具体细节被阐述,以便提供对所呈现的实施例的彻底理解。公开的实施例可以在没有这些具体细节的部分或全部的情况下实施。在其它情况下,公知的处理操作没有进行详细说明,以避免不必要地使本公开的实施方式难以理解。虽然所公开的实施方式将结合具体的实施方式进行说明,但应理解,并不意在限制本公开的实施例。
环栅(GAA)结构提供了提高集成电路的电路密度的有希望的途径,尤其是相对于2D场效应晶体管(FET)而言。目前的形成GAA结构的方法依赖于有选择性的各向同性蚀刻来削弱光刻限定的线。本文所公开的方法利用使用反应离子束刻蚀或化学辅助离子束蚀刻的高角度各向异性蚀刻,以形成这样的结构。所公开的方法的一个优点是生成密集堆叠的导体阵列(其作为沟道)的能力,从而显著增加器件的密度。
在本文的各个实施方式中,用于GAA结构的沟道和沟道阵列通过反应离子束蚀刻来形成。通过使用适当的掩模并从特定的倾斜角和旋转角蚀刻,这样的沟道可以直接地蚀刻成到所希望的材料中。
离子束刻蚀已用于半导体器件的制造。它涉及通过向衬底表面提供高能离子而从衬底表面去除材料。离子束蚀刻可以大致分为仅涉及惰性离子(例如,氩离子)的工艺以及涉及反应离子或通过离子(如氧离子、诸如含氟电离化合物之类的某些离子化化合物、引发与化学吸附或物理吸附在衬底表面上的反应物进行化学反应性离子或惰性离子及其它)引发的化学反应的工艺。在这些工艺中,离子撞击衬底表面,并通过直接的物理动量转移(溅射)或由来自离子的能量转移引发的化学反应(反应离子束刻蚀或化学辅助离子束蚀刻)移除材料。反应离子束蚀刻(RIBE)通常涉及利用可与衬底化学反应的离子(如氧、氟等)。在化学辅助离子束蚀刻(CAIBE)中,惰性离子或者引发衬底和反应物(如被吸附在表面上的施加气体)之间的化学反应,或在衬底的表面上产生反应部位,该反应部位与产生反应物部位的同时或之后与施加的反应物反应,或它们的任意组合。
影响蚀刻结果质量的一个因素是控制离子撞击表面的能量和角度(即,入射角)的能力。这些因素对于形成具有所期望的尺寸和形状的特征很重要。如本文所述的,由于其控制离子撞击衬底的角度(包括倾斜角和旋转角)的能力,因此离子束蚀刻在制造GAA器件中会是特别有用的。
图1示出了用于根据一定的方法进行离子束蚀刻的装置100的简化横截面图。在这个例子中,衬底101搁放置在衬底支撑件103上,它可以配备有硬件(未示出),以提供电连接和流体连接。电连接可被用来为衬底支撑103或为位于衬底支撑件103上或在衬底支撑件103内的静电卡盘(未示出)供电。而在某些情况下,流体连接可以被用于提供用于控制衬底101和衬底支撑件103的温度的流体。衬底支撑件103可以由加热器(未示出)加热,或通过冷却机构(未示出)冷却。冷却机构可涉及使冷却流体流过衬底支撑103中或其附近的管道。衬底支撑件103可以是能够以可变速度和角度旋转和倾斜,如图1中的双箭头所示。在本文的实施方式中,衬底支撑件103也能够在非常精确的位置和方位上定位衬底。换句话说,衬底支撑件103不仅可以倾斜和旋转衬底,还能够将衬底可靠地倾斜和旋转到期望的特定的倾斜角和旋转角。
另外,在某些实施方式中,衬底支撑件103可以被配置为移动衬底。例如,衬底支撑件可以在衬底的平面内在任何方向来回移动衬底,并且相对于所述离子源升高或降低衬底,或相对于所述离子源倾斜衬底。具有能够以这种方式移动衬底的衬底支撑件的装置对于形成GAA结构会是特别有利的,因为移动可允许使用具有更高度准直的束处理,它们对于蚀刻大的沟道阵列是更好的。在许多现有的离子束蚀刻应用中,离子束被设计成稍微发散,使得这些束结合在一起时,对衬底的整个表面提供离子。在使用更高度准直的束时,这样的束可能无法均匀地将离子传递给衬底表面的某些部分。衬底旋转通常用于将这些空间效果平均化和在衬底表面上更均匀地分布离子。然而,当衬底旋转时,这种旋转使得离子入射角相对于衬底上的特征连续改变。但是,在本文所公开的各个实施方式中,期望离子束非常高度准直(即,具有非常低的发散度)并在特定的角度上撞击衬底上的特征。这样的高准直束更利于形成沟道阵列。通过移动衬底,离子可被输送到衬底的整个表面上,而不必使用不同的离子束。
一种等离子体产生气体被输送到主要等离子体产生区域105。所述的等离子体产生气体通过等离子体源107激励。在图1的情况下,等离子体源107是线圈,它作为感应耦合等离子体源起作用。在适当设计的反应器中,可以采用诸如电容耦合源、微波源或放电源之类的其它源。在主等离子体生成区域105,等离子体形成。提取电极109包括一系列孔110,通过孔110,离子被提取。
这些孔110可具有约0.5-1厘米之间的直径,并且其高度由该电极的厚度所限定。孔110可具有约0.01-100.0的高度与宽度的高宽比(AR)。在某些情况下,孔110被布置为六边形、正方形网格、或螺旋图案,但其它的图案也可以使用。相邻的孔之间的中心到中心的距离可为约1毫米-10厘米之间。孔可以被配置为当只考虑电极的单个(顶部或底部)表面时获得电极的表面面积的约0.1%至95%之间的总开放面积(即,每个孔的面积的总和)。例如,具有直径为40厘米和各自具有直径为1厘米的500个孔的电极将具有约31%(393平方厘米的开口面积除以1257平方厘米的总面积)的开放区域。在不同的电极中,孔110可以具有不同的直径。在一些情况下,孔直径是在上部电极较小,在下部电极较大。在一个实施方式中,在下部电极113中的孔比在聚焦电极111中的孔大(例如,大介于约0-30%之间)。在这些或其它情况下,在聚焦电极111中的孔可以比在提取电极109中的孔大(例如,大介于约0-30%之间)。
施加到提取电极109的、相对于衬底101的偏压V1作用,以相对于所述衬底为离子提供动能。这种偏压通常是正的,并且可能约在20-10,000伏之间或更大。在某些情况下,在提取电极上的偏压为介于约20-2,000伏之间。在提取电极109上方的等离子体中的正离子通过在电极109和113之间的电位差被吸引到下部电极113。添加聚焦电极111,以聚焦离子,并且如果需要的话,排斥电子。在此电极上的偏压V2相对于所述提取电极109可以是正或负,但一般为负偏压。聚焦电极111的偏置电压由聚焦电极111的透镜特性来确定。聚焦电极上的偏压包括为提取电极上的电压V1的约1.1倍至20倍之间的正电压和为电压V1的0.001倍至0.95倍之间的负电压。由于施加到不同的电极上的电位不同,因此电位梯度存在。电位梯度可以为约1000伏/厘米的数量级。相邻的电极之间的间隔距离的样例是在约0.1-10厘米之间,或例如约为1厘米。
如果聚焦电极111的电压被设置为产生准直束,那么,在离子离开接地的下部电极113的底部之后,它们以准直和聚焦的束行进。可替换地,如果聚焦电极电压被调整为不足聚焦离子束或过度聚焦离子束,那么,束可以发散。使用高准直束是在形成GAA结构如本文所公开特别有用。在许多(但不是全部)情况下,下部电极113被接地。使用接地的下部电极113连同接地的衬底101导致了基本上是无场(fieldfree)的衬底处理区115。使衬底位于无场区防止了电子或由离子束与残留的气体之间碰撞或与反应室表面碰撞产生的二次离子被加速朝向衬底,从而最大限度地减少了导致不希望的损害或副反应的风险。在另一些情况下,下部电极113和衬底101可以都被偏置,如下面进一步讨论的那样。
此外,防止衬底101从离子束本身充电或防止衬底101在离子束与衬底碰撞期间产生喷射的二次电子是重要的。通常通过在衬底101的附近添加低能量的电子源(未示出)实现中和。由于离子上的正电荷和喷射的二次电子都给衬底充正电,所以,在衬底附近的低能量的电子可以被吸引到带正电荷的表面,并可以中和该电荷。在无场区执行该中和容易得多。
在一些应用中,可能期望在下部电极113和衬底101之间具有电位差。例如,如果需要非常低的能量的离子,由于带正电荷的离子的相互排斥(空间电荷效应),因此很难以低能量长距离保持良好的准直束。它的一种解决方法是在下部电极113上设置相对于衬底101的负偏压(或相反地,相对于下部电极113正偏置衬底101)。这使得能够提取较高能量的离子,然后,当它们接近衬底时使它们减缓下来。
在某些离子束蚀刻操作中,三个电极中的一个可以被省略。如果是这种情况,关于离子在什么能量下被引导到衬底表面就存在更小的灵活性。这一限制的产生是因为,为了使离子进行期望的聚焦和定位,特定比例的偏置电压应施加到两个电极。偏置电压的比例是由两个电极的聚焦特性和几何形状来控制的。这样,在下部电极使用特定的几何形状和期望特殊的偏压/电状态(例如,接地)的情况下,施加到上部电极上的偏压就很少有或没有灵活性。其结果是,使用这样的设定的反应室就被限制在一个离子能量范围内,当电子通过各种电极行进时,离子能量可被施加到离子上。第三电极的引入使得离子根据需要在许多不同的离子能量上被聚焦/引导,如上所述。
电极109、111以及113中的每一个都有厚度,厚度可以是在约0.5mm-10cm之间,或在约1mm-3cm之间,例如为约5mm。电极109、111和113可以是厚度相同,或者它们可以具有不同的厚度。此外,在提取电极109和聚焦电极111之间的间隔距离可以是等于、大于或小于在聚焦电极111和下部电极113之间的间隔距离。电极109、111和113中的每一个还具有尺寸,其可以是小于、等于或大于被处理的衬底的尺寸。在某些实施方式中,电极的尺寸接近于衬底或衬底支撑件的尺寸(例如,在约50%内)。
电极109、111和113可以是圆形、矩形或其它多边形形状。在某些实施方式中,电极是长而窄的,其中长尺寸约等于或大于衬底的一维尺寸,并且衬底在正交方向被扫描,使得在一段时间内平均时离子束均匀地撞击整个衬底表面。
在提取电极109、聚焦电极111和下部电极113中的孔110可以精确地彼此对齐。否则,离子将不能正确对准,并且晶片上的蚀刻效果会很差。例如,如果在聚焦电极111中的单个孔错位,则可能会导致在衬底101中的一个区域过度蚀刻(其中有太多的离子被导入),而衬底101的另一区域蚀刻不足(其中无离子或过少的离子被导入)。因此,期望孔是彼此尽可能对齐。在各种情况下,在垂直方向上的相邻电极之间的未对准被限制在孔直径的约1%或更小(按照孔相比于相邻的孔的位置的线性移位的距离来衡量)。
离子束蚀刻工艺通常是在低压下运行。在一些实施方式中,压强可为约100mTorr或更低,例如为约1mTorr或更低,并且在许多情况下为约0.1mTorr或更低。低压有助于最大限度地减少在离子和存在于衬底处理区中的任何气态物质之间的不希望的碰撞。
在一些实施方式中,离子束蚀刻工艺可用于原子层蚀刻工艺。原子层的蚀刻方法将在以下美国专利中进一步讨论,其每一个都通过引用将其全部并入本文:美国专利No.7416989、标题为《ADSORPTIONBASEDMATERIALREMOVALPROCESS》;美国专利No.7977249、标题为《METHODSOFREMOVINGSILICONNITRIDEANDOTHERMATERIALSDURINGFABRICATIONOFCONTACTS》;美国专利No.8187486、标题为《MODULATINGETCHSELECTIVITYANDETCHRATEOFSILICONNITRIDETHINFILMS》;美国专利No.7981763、标题为《ATOMICLAYERREMOVALFORHIGHASPECTRATIOGAPFILL》;和美国专利No.8058179、标题为《ATOMICLAYERREMOVALPROCESSWITHHIGHERETCHAMOUNT》。各种离子束蚀刻的方法和装置在2014年8月29日递交的美国专利申请No.14/473863、标题为《IONINJECTORANDLENSSYSTEMFORIONBEAMMILLING》和在2014年8月12日递交的美国专利申请No.14/458161、标题为《DIFFERENTIALLYPUMPEDREACTIVEGASINJECTOR》中有进一步讨论,其每一个都通过引用将其全部并入本文。
图2示出了具有单个沟道202的GAA器件200的基本结构。沟道202在源区204和漏区206之间延伸。在源区204和漏区206之间是栅极208,栅极208包围着沟道202的所有面。未示于图2的是围绕沟道202并将沟道202与栅极208分离的介电材料。在多种情况下,GAA器件包括由单个栅极控制的多个沟道。附加的沟道提供更多的电流流动,以允许GAA器件具有更高的输出电流或更高的能量。
在本文的多个实施方式中,用于GAA结构的沟道通过反应离子束蚀刻(RIBE)或化学辅助离子束蚀刻(CAIBE)工艺形成。RIBE或CAIBE工艺不仅可被用于创建成行的沟道,还可用于创建有若干行深的阵列。在RIBE或CAIBE后,如下所述,可以根据需要进行额外的蚀刻和沉积步骤,以除去不需要的材料和打造沟道的形状。
图3A-图3I示出了用于根据各种公开的实施方式通过RIBE或CAIBE工艺形成沟道阵列的工艺流程。该工艺开始于图3A(顶视图)和图3B(侧视图),其中图案化掩模层301被设置在半导体材料303上,半导体材料303可以是本征半导体或非本征半导体。图案化掩模层301包括定位为在要形成沟道的方向上基本上彼此平行的线性掩模段。半导体材料303可以是例如硅、锗、硅锗、铟、镓、第3族的材料、第5族的材料或它们的组合。在某些情况下,场效应器件可以具有金属沟道或类金属沟道。图案化掩模层301可以是任何适当的掩模材料。在这个特定的例子中,图案化掩模层301是氧化硅。如图所示,也可以提供浅沟槽隔离(STI)材料305。图案化掩模层301的每个单独的线性掩模段在蚀刻工艺期间起掩蔽下面的半导体材料303的作用。图案化掩模层301的线性掩模段的尺寸决定了所得沟道302的尺寸。在某些实现方案中,线性掩模段具有约5-200纳米的宽度(在图3A和3B中按左-右测量),例如为约10-40纳米。线性掩模段可具有约10-500纳米的中心至中心的间距(在图3A和3B中按左-右测量),例如在约20-100纳米之间。掩模段可具有约5-500纳米之间的高度(在图3B中上-下测量),例如在约10-50纳米之间。线性掩模段的长度(在图3A中上-下测量)决定了沟道302的长度。在某些情况下,掩模段具有在约20~500纳米之间的长度。这些尺寸可导致在处理后具有在约3-100纳米之间的宽度的沟道302(例如,图3I的沟道302可为约3-100纳米宽)。所得沟道相对较小,并且密集封装。
接下来,执行RIBE或CAIBE工艺,以蚀刻掉半导体材料,如图3C所示。虽然在许多离子束蚀刻工艺中使用连续/单向衬底旋转,但不同的方法被用于各种公开的实施方式中。为了形成沟道,如图3C所示,衬底相对于离子轨迹在两个方位之间切换,离子主要撞击在这两个方位中的一个方位上的衬底。在某些情况下,离子仅在衬底是在两个方位中的一个方位上时才撞击衬底。在图3C中的箭头示出了用于蚀刻所述半导体材料的离子轨迹。示出了两个不同的离子的轨迹:一个来自右边,一个来自左边。在蚀刻过程中的给定的时间,只有这两个离子轨迹之一才存在,这将参考图4A-图4D进一步解释。这些离子轨迹合在一起,以一种很特定的方式蚀刻图案化掩模层301下面的半导体材料。
当衬底改变方位时,朝向衬底的离子的通量可以停止或减少,以使得离子仅在衬底是在两个方位中的一个方位上时才撞击衬底。在其它情况下,当衬底切换方位时,特别是当与衬底花费在每个定位上的时间相比切换迅速发生时,离子通量将继续。这些技术有助于确保离子只蚀刻在特定方向上的半导体材料,使得沟道302可以形成。沟道302被形成为具有与图案化掩模段的长度平行的长度。在图3C-图3E和图3G-图3I中,沟道的长度延伸到纸页内/从纸页延伸出来。
为了蚀刻以这种方式定位的长度的沟道,离子应以相对高的入射角角度瞄准。通常使用两个角度的入射:从图案化的掩模层301的线性掩模段的第一侧蚀刻半导体材料的一个角度的入射和从线性掩模段的相对侧蚀刻半导体材料的第二角度的入射。入射角按离子轨迹和垂直于衬底表面的方向之间的角度来测量。例如,如果衬底被保持在水平位置且离子以直接向下/垂直的方向撞击在表面上,则入射角为0°。如果衬底是10°倾斜且离子直接向下行进,则入射角是10°。
在多个实施方式中,离子束方向都垂直于图案化掩模层301的线性掩模段的长度。入射角可以相等或不等。在某些实施方式中,入射角相等且方向相反(例如,当沿着该图案化掩模段的长度观察时是+45°和-45°,如图3C中所示)。在一个实施方式中,第一入射角为0°(使得离子束直接向下朝向衬底,与衬底的垂直方向一致),和第二入射角或第三入射角被用来底切线性掩模段,以形成沟道。在本实施方式中,形成的所有沟道将被垂直放置在图案化的掩模层的线性掩模部分之下。可使用入射角的不同组合来定义沟道,使之具有各种形状轮廓和位置。
如所提到的那样,当衬底不在期望的方位时,离子的通量会停止或最小化。这可以通过使用阻止离子撞击衬底的挡板(shutter)来实现。离子的通量也可以通过熄灭等离子体来停止或最小化。在其它实施方式中,在衬底方位被改变时,静电场、磁场或两者被用来使离子偏转而不到达衬底上。在另一些情况下,离子的通量可保持恒定,即使当衬底被切换方位时亦然。当衬底非常快速地切换方位和/或在方位变化之间衬底停留在特定的方位上的时间相对较长的情况下,恒定离子轰击可能特别有用。
各向异性蚀刻工艺更详细地示于图4A-图4D。在衬底支撑上设置具有半导体材料层403和上覆图案化掩模层401的衬底。图4A-图4D中所示的尺寸被放大很多,以便更好地说明蚀刻工艺。此外,为了清楚起见而省略了各个特征(例如,STI特征)。衬底在第一方位上开始蚀刻工艺,如图4A所示。离子(其轨迹由箭头表示)在第一方向上撞击表面,以形成第一组沟槽440。离子可以是惰性离子(例如氖、氩等),或者它们可以是反应性离子。反应气体可以在蚀刻期间被引入到反应室中。在各个实施方式中,反应气体可在电极的下游(例如,通过图1的电极109、111和113下面的入口)添加。例如,次级等离子体源(例如,ICP、TCP或微波等离子体)可以被提供,以离解反应物气体和形成可以被送入下部电极113下方的反应室的反应物基团。使用次级等离子体源对于支持相对较低的压力加工可能是有益的,相对较低的压力加工有利于尽可能地减少离子和其它物种之间的不希望的碰撞。次级等离子体源(或其它反应物源)可以通过被置于靠近(和可选地,朝向)衬底表面的喷嘴为衬底表面提供反应物。在另一个实施方式中,反应物是通过使用扫描型喷射头提供的,如在上面引入作为参考的美国专利申请No.14/458161所讨论的那样。在其它实施方式中,反应物可连同用于产生离子束的惰性气体一起提供(即,反应物可以作为在电极上方输送的等离子体产生气体的一部分被提供)。
反应气体可以与离子轰击同时添加,或以交替的/重复的循环方式添加。在反应物气体以同时添加的方式被输送时,它也可以作为背景气体单独地提供,或者可以作为等离子体产生气体的一部分提供,如上所述。在反应物以循环方式被输送时,循环可以由下列步骤组成:将反应物投配到反应室并允许反应物吸附到衬底上,可选地从反应室中清除反应物,可选地打开离子束,关闭离子束。在某些实施方式中,反应物气体包括H2、O2、H2O、F2、CH4、CF4、C2F6、BF3、NH3、NO、NO2、SF6、N2、N2O、BCl3、CHF3、CH2F2、C2H4Cl2、HCl、Cl2、Br2、HBr、甲醇、乙醇、丙醇、丁醇、亚甲基乙二醇、乙二醇、乙酰丙酮、乙酸、甲酸、六氟乙酰丙酮、其它酮类、其它胺类、其它酯类或它们的一些组合。
在一个具体的例子中,通过以下步骤进行循环蚀刻:(1)将含氯蚀刻剂(例如,氯气)投配到反应室并允许蚀刻剂吸附到衬底表面上,从而形成氯化半导体(例如,SiCl、GeCl、SiGeCl等)层;(2)可选地吹扫反应室;(3)将氩离子束暴露到衬底表面,从而除去在离子束影响的区域中的氯化半导体;(4)重复(1)-(3);和(5)如本文所述,相对于离子束切换衬底的方位。
在某些情况下,在反应室中的压力可以被循环,以使得离子束开通时压力较低。压力循环可以帮助最大限度地减少不希望的离子与背景气体的碰撞。在其它情况下,在反应室中的压力保持恒定。使用可以在局部高压下将反应物提供到衬底的局部区域而同时保持低背景压力的扫描喷射头可有助于减少或消除对压力循环的任何需要。
回到图4A-图4D所示的实施方式,衬底切换到第二方位,如图4B所示。如上所述,在衬底切换方位时,离子的通量可以(或可以不)停止或最小化。然后,离子和反应物输送可继续,如上所述。在此,离子在第二方向上撞击衬底,以形成第二组沟槽441。第一方向和第二方向可以是在晶片法线的相对侧,并且在一些情况下,这两个方向具有基本上相同的幅值(如由入射角所定义的),如图3C和图4A-图4D所示。在某些情况下,用于两个方向的入射角度也可以是不同的。第一组沟槽440和第二组沟槽441彼此相交,留下了第一层沟道445。接着,将衬底切换回其第一方位,如图4C所示。离子再次从第一方向撞击衬底,以进一步蚀刻第一组沟槽440。然后,衬底被切换回第二方位,如图4D所示。在这里,离子从第二方向上再次撞击衬底,以进一步蚀刻第二组沟槽441。蚀刻沟槽440和441现在交错,以形成第二层沟道446。可以重复这一进程,以形成具有若干层阵列的沟道。
图案化掩模层401正下方是另一层潜在的沟道447,如图4D标记所示。在某些情况下,这些潜在沟道447被形成在最终器件的沟道层中。在其它情况下,这些潜在沟道447可在稍后的处理步骤中除去。
在各种实现方案中,衬底的方位可以在形成沟道的每一层的过程中切换若干次。在其它情况下,方位切换频率可较低。在蚀刻是通过循环处理发生(例如,涉及顺序地输送反应物和暴露于等离子体的原子层蚀刻)的实施方式中,可以在衬底切换方位之前进行若干个蚀刻循环。在一些情况下,衬底每次切换方位时执行约1-500个蚀刻循环(例如,约2-50个循环)。衬底切换方位的次数可取决于几个因素,包括被蚀刻的材料、用于蚀刻的化学物、等离子体条件、循环数和/或在每个方位上花费的时间等。在一些情况下,衬底可以以约1-500次的数量级切换方位,例如切换约2-100次,或约4-50次。
本文公开的方法可以被用于形成若干排沟道。形成的沟道的排数可介于约1-10之间,例如约1-4。在一个实施方式中,只形成一排沟道,每个沟道都在防护掩模正下方。如图4D所示,单排沟道可以是潜在沟道447。在其它情况下,形成至少约一排沟道、至少约两排沟道、至少约三排沟道或至少约四排沟道(不包括图4D的成排的潜在沟道447)。
形成的沟道排数可取决于离子束的发散度(越不发散的光束可以创建排数越多的沟道)。束发散度应该是相对小的,例如用于形成两排沟道(不算直接在掩模层之下的潜在沟道447)的束发散度小于约5°,形成三排沟道小于约3°。如上所述,在本公开的实施方式中的束发散度可能比在许多常规应用中的低。虽然传统的应用通常使用略微发散的束以使得离子撞击衬底的整个表面,但在本实施方式中的离子束可以更低,替代地通过衬底的移动提供完整的离子覆盖。衬底移动从而有助于实现更准直的、更少的发散离子束,从而使更多排数的沟道形成。
为了定位衬底以使得离子以所需的角度撞击,衬底支撑件可以构造成精确地倾斜和/或旋转衬底。在一个实例中,衬底支撑件倾斜到恒定的倾斜角(例如,45°),然后以180℃的间隔(例如,在一个方向上或来回)转动衬底,使得离子以两个相反的入射角(例如,+45°和-45°)上撞击衬底。在另一实例中,衬底支撑件在两个相等且相反的倾斜角(例如,+45°和-45°)之间倾斜,没有使用旋转。如前所述,衬底应该在两个方位之间进行切换,使得离子从两个相等和方向相反的角度撞击衬底。
可替代地,离子束可以相对于衬底在一个方向上倾斜、然后在另一个方向上倾斜,从而完成循环。各种机械机构、电机构、磁机构等可以用于倾斜离子源的方向。可以使用的一种方法涉及使用一个或多个磁场,以根据需要弯曲离子轨迹。在许多情况下,在磁场的存在下,离子轨迹弯曲成弧形。离子轨迹的弯曲半径等于mv/(qB),式中,m是离子的质量,v是离子的速度,q是离子的电荷,B是磁场强度。因此,通过改变磁场强度和施加这样的场的区域,离子轨迹可以根据需要改变,以便以特定的入射角撞击衬底。在某些其它实施方式中,离子轨迹通过使用静电场而不是磁场而类似地改变。同样地,可以使用磁性和静电场的组合。图6A和图6B示出了使用磁场和/或静电场来调节离子轨迹的实施方式。在这些实施方式中,使用了两个偏转区。在其它实施方式中,可以使用三个或更多个偏转区,这可以简化与光学有关的某些问题。相比于图6B的实施方式,图6A的实施方式使用了更强的磁场,从而使离子轨迹在每个偏转区中有更大程度的改变。
无论使用哪种机构来定位衬底或离子束,它都应能相对于离子束以高精度的期望的倾斜度/旋转角度定位衬底(例如,倾斜角精度/旋转角度在约5°之内,旋转角精度在约5°之内)。这样的定位精度有助于确保沟道被蚀刻为期望的形状。在一些情况下,可以用反馈来控制离子撞击衬底表面的角度,如下面进一步讨论的那样。
为了控制旋转角度,也可以使用换位系统。这样的系统可以指定/定义衬底的不同的角度位置(例如,0°、1°、...359°),并且根据这些位置追踪衬底的旋转。在某些情况下,旋转可以通过光学装置进行跟踪。例如,衬底保持器可具有多个标记(例如,360个标记,以1°或更小角度分割,但是也可使用任何合适数目的标记),当衬底旋转时可通过光学系统来跟踪这些标记。光学编码器可在一些情况下使用。在其它情况下,步进马达用于旋转衬底。步进马达可以如本文所述旋转衬底,在一段时间内小心地控制衬底的角度旋转。步进马达可以将完整的旋转分成若干个相等的步(例如,360步,每步以1°或更小角度分割,但是也可使用任何合适数目的步)。可以控制马达的位置、从而可以控制衬底保持器和衬底的角度位置以在指定的步之间移动,而不需要任何反馈传感器。其它旋转换位系统也可以使用,只要它们允许角度/旋转位置以相关的旋转速度被精确地跟踪。
返回到图3A-图3I中所示的处理流程,工艺继续进行到图3D。在沟道302形成之后,去除图案化掩模层301。可以使用许多不同的工艺,以除去图案化掩模层301。在图3D所示的实施方式中,执行选择性蚀刻,以去除图案化掩模层301而不去除(或最低限度地除去)沟道302。蚀刻工艺还可以在一定程度上蚀刻STI结构305,但这样的蚀刻是最小化的,这是因为,由于要除去的材料少,图案化掩模层301被迅速除去。在另一个实施方式中,可以通过化学机械研磨(CMP),在牺牲材料(例如,氧化硅)沉积到图案化掩模层301的高度之后移除图案化掩模层301。
在多个实施方式中,期望将沟道302形成为更圆的形状。使沟道302圆化有助于消除那些在蚀刻过程中特别容易受到离子损伤的沟道的部分。沟道可通过暴露于等离子体处理来圆化。在一些情形中,等离子体处理会涉及通过暴露于含氟等离子体的各向同性蚀刻。也可以适当使用其它化学物。沟道也可以通过涉及沟道302的热氧化、接着除去所得的外部氧化物材料的工艺来圆化。
接着,将一层牺牲材料307沉积在沟道302上,如图3F(俯视图)和图3G(侧视图)所示。牺牲材料307可通过CMP平坦化。牺牲材料307可以是能在不除去沟道302的情况下而被除去的任何合适的材料。在一些情况下,牺牲材料307是氧化物(例如,氧化硅)、氮化物(如氮化硅),在其它情况下,牺牲材料307是有机材料。可以适当地使用其它材料。牺牲材料307的目的是为了在半导体材料303被蚀刻掉时保护沟道302,如图3H所示。半导体材料303被蚀刻掉,使得在沟道302和下面的半导体材料303之间有一段距离,并使得在相邻器件之间有间距(如由STI结构305提供的间距)。该蚀刻通常是各向同性蚀刻。如果在沟道302和半导体材料303之间的距离过小,则在沟道周围形成的栅极(未示出)将经受半导体材料303的电容,这导致高于希望的栅极电容。这样,在沟道的最低排和下面的半导体层之间的距离可以是至少约50纳米。在某些情况下,这个距离在大约100-1000纳米之间。在半导体材料303被回返再刻蚀之后,牺牲材料307可被移除,如图3I所示。牺牲材料常常是通过各向同性氧化物蚀刻去除的氧化物。
在某些情况下,涉及图3C和图4A-图4D描述的各向异性蚀刻工艺可以通过反馈进行监测和控制。例如,入射角和光束发散度都可以通过反馈来控制。对于入射角,衬底台上的位置传感器可以监测和控制,精度可以在约±3°之间,例如介于约±1°或±0.1°。光束发散度可以通过位于衬底台上的束发散传感器监测和控制。束发散度可以监测和控制在约4°FWHM之间,例如约1°FWHM。使用相对低的能量的离子往往是有益的,使得离子对衬底的损伤(例如,离子对正在形成的沟道的损伤)最小化。可能需要1000eV或更小的离子能量,以防止离子对沟道的过度损伤。对于一些损害敏感器件,可能需要200eV或更小的离子能量,或在一些情况下,需要100eV或更小的离子能量。关于离子入射角,可以使用许多不同的角度。在一些情况下,入射角在约±75°之间变化,例如在约±15°之间变化,或在约±3°之间变化。
如上所述,所创建的沟道的行数可能由束发散度限制,越不发散的(更高度准直的)束能够形成越多的沟道排。影响离子束发散度的因素包括所使用的等离子体源的设计(例如,在电极上的孔和施加到电极上的偏压)以及在离子束中的离子的能量。低能离子行进速度较慢,因此,由于排斥力而具有更大的机会扩散开来,从而导致更发散的束。低能离子就它们导致对衬底的损伤较小的角度而言是有利的。这样,关于最佳离子能量,存在权衡关系,低能量离子导致衬底损坏更小,但导致更发散的光束(其不能创建尽可能多的沟道排)。
影响可创建的沟道排数的另一个因素是在下部电极和衬底之间的距离(例如,在图1的电极113和衬底101之间的距离)。通常,在离子源和衬底之间的距离越大,允许创建的沟道排数越多。在一些情况下,在下部电极的下表面和衬底的上表面之间的距离为约5-50厘米,例如约10-20厘米。不幸的是,较大的间距也导致离子的更长的行驶时间。如前所述,低能量的离子在离子束中一起行进时可相互排斥,在行进时间长/间隔距离大时,这个问题变得更加严重。抵消这种效应的一种方法是使用更高的能量/更快的行进离子和在衬底上施加正偏置,使得离子最初行进快、然后在它们接近衬底时行进慢。例如,下部电极可以是正偏压(例如+1000V),衬底可以施加略小的正偏压(例如,+995V)。离子是相对高能量的离子,以高速行进通过在下部电极和衬底之间的距离的大部分,最后当它们到衬底附近时减速。这种方法可以帮助实现具有低发散的、可用于创建若干排沟道的束。
图5A-图5G示出了用于形成GAA结构的一个示例性工艺流程。这个工艺流程在斯莱特等人的《Gate-all-aroundsiliconnanowireMOSFETsandcircuits》(DeviceResearchConference(DRC),2010,pp.269-272,2010年6月21-23日)中有说明。在本文的各个实施方式中,使用一个不同但相似的工艺流程以形成GAA器件,如参照图3A-图3G和图4A-图4D说明的那样。特别是,上文说明的离子束蚀刻工艺和相关的工艺流程可用于形成沟道(或沟道阵列),以得到类似于图5C的结构。然后,可进行图5D-图5G的其余操作(或另一工艺流程),以形成完整的GAA结构。
在图5A中,硅纳米线502(其最终作为沟道)在区域504和506(其最终分别充当源区和漏区)之间定义。在图5B中,进行涉及H2退火的无掩模细化/平滑化步骤,以细化纳米线502。在图5C中,通过氧化操作纳米线502的尺寸被进一步减小。此时,部分制造的器件包括三个纳米线502,其将作为在成品器件中的沟道。在本文的多个实施方式中,如上所述,涉及使用特定角度的离子冲击的离子束蚀刻方法被用于形成类似于图5C所示的结构。在许多情况下,形成纳米线/沟道的阵列。然后,为了形成GAA器件,如图5D-图5G所示,通过所公开的方法形成的结构可进一步处理。当然,可以适当地使用其它处理方法,并且,所公开的实施方式不被限制于用于形成整体GAA结构的特定处理流程。
回到图5A-图5G的实施方式,在图5D中,纳米线502涂覆有各种介电材料512、514和516,栅极508被定义。介电材料512、514和516被提供,以防止纳米线502和栅极508之间的直接物理接触。在本实施例中,纳米线502涂覆有氧化硅512(例如,二氧化硅),在氧化硅512上涂有铪基介电材料514,铪基介电材料514上涂有氮化钽516。栅极508由覆盖层510覆盖。例如,栅极508可以由多晶硅制造,覆盖层510可以由氮化硅制造。接着,如图5E所示,间隔层518被形成。间隔层518例如可以是氮化硅。然后,如图5F所示,在形成源极和漏极的区域504和506上形成外延硅520。外延硅520也在纳米线502的暴露区域形成,使得纳米线在栅极508之外的区域较厚。增加的厚度有助于减少通过纳米线502的阻力。接下来,硅化物522被形成在各个区域,如图5G所示。从硅化物形成到第一金属层的工艺步骤被省略,但这些步骤是本领域的技术人员所熟知的。在各个不同的实施方式中,如图5D-5G所示,如上所述形成的沟道的层或阵列被进一步处理,以形成环栅器件。
装置和系统控制器
本文所描述的方法可以通过任何合适的装置来进行。合适的装置包括用于完成处理操作的硬件和具有用于根据本发明控制工艺操作的指令的系统控制器。一台示例性装置示于图1。在一些实施方式中,硬件可以包括包含在处理工具内的一个或多个处理站。
在一些实施方式中,系统控制器(其可以包括一个或多个物理或逻辑控制器)控制处理工具的操作的一部分或全部。系统控制器通常将包括一个或多个存储器器件和一个或多个处理器。处理器可以包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进电机控制器板、以及其它类似部件。用于执行适当的控制操作的指令在处理器上执行。这些指令可以存储在与控制器相关联的存储器器件中,或者它们可以在网络上提供。在某些实施方式中,系统控制器执行系统控制软件。
系统控制软件可以包括用于控制定时、电解质成分混合、入口压力、镀池压力、镀池温度、晶片温度、施加到晶片和任何其它电极上的电流和电压、晶片位置、晶片旋转、晶片浸入速度以及通过该处理工具执行的特定工艺的其它参数。系统控制软件可以以任何合适的方式进行配置。例如,各种处理工具组件的子程序或控制对象可以被写入执行各种处理工具进程所必需的处理工具组件的控制操作中。系统控制软件可以用任何合适的计算机可读编程语言进行编码。
在一些实施方式中,系统控制软件包括用于控制上述各个参数的输入/输出控制(IOC)序列指令。例如,电镀工艺的每个阶段可包括由系统控制器执行的一个或多个指令。用于设定浸渍处理阶段的工艺条件的指令可被包括在相应的浸渍中配方阶段。在一些实施方式中,电镀配方阶段可以顺序排列,以便使用于电镀工艺阶段的所有指令与该处理阶段同时执行。
在一些实施方式中,可以使用其它的计算机软件和/或程序。用于这一目的的程序或程序的部分的例子包括衬底定位程序、电解质组合物控制程序、压力控制程序、加热器控制程序、及电位/电流电源控制程序。
在一些情况下,控制器控制以下功能中的一个或多个:晶片定位(移动,倾斜,旋转)、离子束电压、离子束发散度、电子流枪参数等。晶片定位可以通过例如引导晶片升降组件、晶片倾斜组件和晶片旋转组件按照所希望的那样移动来控制。控制器可以控制在电极上的电压来控制离子电压和离子聚焦。控制器可基于传感器的输出(例如,当电流、电流密度、电位、压力等达到某一阈值时)、操作的定时(例如,在工艺中的特定时间打开阀)、或来自用户的接收指令来控制这些方面。
上文描述的各种硬件和方法也可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。
膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂,衬底上涂敷有氮化硅膜;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台或喷射显影器之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
应当理解的是,本文所述的配置和/或方法在本质上是示例性的,并且这些具体实施方式或示例不应被认为是限制性的,因为许多变化是可能的。本文中所说明的具体例程或方法可表示一个或一个以上的任何数目的处理策略。这样,所说明的各个操作可以以所示的序列执行,可以以其它序列执行,可以并行执行,或在某些情况下可以省略。同样地,上述进程的顺序可以改变。
本公开的主题包括各种工艺、系统和配置以及本文公开的其它特征、功能、操作和/或性质的所有新颖的和非显而易见的组合和子组合及其任何和所有等同物。

Claims (23)

1.一种用于为环栅器件或其它电子器件形成沟道或纳米线的方法,所述方法包括:
(a)在反应室中的衬底支架上提供衬底,所述衬底包括在半导体材料上方的图案化掩模层,其中所述图案化掩模层包括定位为基本上彼此平行的多个线性掩模部分;
(b)相对于离子轨迹定位所述衬底在第一方位上,并且引导离子沿第一方向朝向所述衬底,其中所述离子以第一入射角撞击所述衬底,从而各向异性地蚀刻所述半导体材料,以形成第一组沟槽;
(c)相对于所述离子轨迹定位所述衬底在第二方位上,并且引导离子在第二方向上朝向所述衬底,其中所述离子以第二入射角撞击衬底,从而各向异性地蚀刻所述半导体材料,以形成第二组沟槽;
(d)重复(b)-(c),以进一步蚀刻所述第一组沟槽和所述第二组沟槽,以在平行于所述多个线性掩模部的方向上形成所述沟道或纳米线。
2.如权利要求1所述的方法,其中,形成沟道或纳米线阵列,所述阵列包括至少一排沟道或纳米线。
3.如权利要求1所述的方法,其中,所述离子以具有约3°或更小的发散度的多个离子束的形式输送。
4.如权利要求3所述的方法,其中,所述离子以具有约1°或更小的发散度的多个离子束的形式输送,并且其中形成沟道或纳米线阵列,所述阵列包括至少三排沟道或纳米线。
5.如权利要求1所述的方法,其还包括在(b)和(c)中将所述离子暴露于所述衬底的同时,移动所述衬底。
6.如权利要求1所述的方法,其还包括在所述衬底相对于所述离子的轨迹的方位切换时停止所述离子的通量。
7.如权利要求1所述的方法,其中,所述第一方向和第二方向分别都垂直于所述线性掩模部分的长度。
8.如权利要求1所述的方法,其中,所述第一方向和第二方向基本上彼此方向相反。
9.如权利要求8所述的方法,其中,所述第一入射角和第二入射角基本相
等,并且彼此相反。
10.如权利要求1-9中任一项所述的方法,其还包括在(b)期间和在(c)期间向所述衬底的表面输送反应性蚀刻剂。
11.如权利要求10所述的方法,其中,所述反应性蚀刻剂包括从由H2、O2、H2O、F2、CH4、CF4、C2F6、BF3、NH3、NO、NO2、SF6、N2、N2O、BCl3,、CHF3、CH2F2、C2H4Cl2、HCl、Cl2、Br2、HBr、甲醇、乙醇、丙醇、丁醇、亚甲基乙二醇、乙二醇、乙酰丙酮、乙酸、甲酸、六氟乙酰丙酮、酮类、胺类、酯类、以及它们的组合组成的组中选择的气体。
12.如权利要求10所述的方法,其中,所述反应性蚀刻剂与惰性气体一起提供,且其中所述惰性气体是用来生成等离子体的,从所述等离子体中提取所述离子。
13.如权利要求10所述的方法,其中,所述(b)和(c)各包括循环性地执行以下的步骤:向所述衬底的所述表面上提供所述反应性蚀刻剂,以及将所述衬底的所述表面暴露于所述离子。
14.如权利要求13所述的方法,其中,每当所述衬底相对于所述离子轨迹的方位切换时,执行至少两个循环的所述反应物输送和离子暴露。
15.如权利要求1-9中任一项所述的方法,其中,所述衬底相对于所述离子轨迹的方位在形成所述沟道的过程期间至少切换2次。
16.如权利要求1-9中任一项所述的方法,其还包括:在(d)之后,蚀刻所述沟道或纳米线,以使所述沟道或纳米线更圆。
17.如权利要求16所述的方法,其还包括:除去所述图案化掩模层;沉积围绕所述沟道的牺牲材料;进行各向同性蚀刻,以除去位于所述沟道下方的额外的半导体材料,并进行蚀刻,以除去围绕所述沟道的所述牺牲材料。
18.如权利要求17所述的方法,其还包括:在所述牺牲材料被除去后,沉积介电材料,以涂覆所述沟道,在被涂覆的所述沟道周围沉积栅极结构,以形成环栅器件。
19.如权利要求10所述的方法,其中,所述反应性蚀刻剂包括从由H、O、F、Cl、Br、I、BF2、BCl2及其组合组成的组中选择的材料的离子。
20.如权利要求1-9中任一项所述的方法,其中,在(b)和/或(c)中的相对于所述离子轨迹定位所述衬底包括:(i)改变所述衬底的所述方位和/或(ii)改变所述离子轨迹的所述方位。
21.一种用于为半导体衬底上的环栅器件或其他电子器件形成沟道或纳米线的装置,所述装置包括:
反应室;
等离子体源;
机构,其构造成通过(i)定位所述衬底和/或(ii)定位所述离子轨迹而将所述衬底相对于离子轨迹定位在至少第一方位和第二方位上,所述衬底包括位于包括多个线性掩模部分的图案化掩模层下的半导体材料;和
包括指令的控制器,所述指令用于:
(a)相对于所述离子轨迹将所述衬底定位在所述第一方位上,并引导离子在第一方向上朝向所述衬底,其中所述离子以第一入射角撞击所述衬底,从而各向异性地蚀刻在所述衬底上的所述半导体材料,以形成第一组沟槽;
(b)相对于所述离子轨迹将所述衬底定位在第二方位上,并引导离子在第二方向上朝向所述衬底,其中所述离子以第二入射角撞击所述衬底,从而各向异性地蚀刻所述半导体材料,以形成第二组沟槽;
(c)重复(a)-(b),以进一步蚀刻所述第一组沟槽和所述第二组沟槽,以在平行于所述多个线性掩模部分的方向上形成所述沟道或纳米线。
22.一种为环栅器件或其它电子器件形成沟道或纳米线的方法,所述方法包括:
(a)在反应室中的衬底支架上提供衬底,所述衬底包括在半导体材料上方的图案化掩模层,其中所述图案化掩模层包括定位成基本上彼此平行的多个线性掩模部分;
(b)相对于离子轨迹将所述衬底定位在第一方位上,并引导离子在第一方向上朝向所述衬底,所述多个线性掩模部分保护多个第一阴影区,其中所述离子以第一入射角撞击所述衬底,从而蚀刻所述半导体材料,以在相邻的第一阴影区之间形成第一组沟槽;
(c)相对于所述离子轨迹将所述衬底定位在第二方位上,并引导所述离子在第二方向上朝向所述衬底,所述多个线性掩模部分保护多个第二阴影区,其中所述离子以第二入射角撞击所述衬底,从而蚀刻所述半导体材料,以在相邻的第二阴影区之间形成第二组沟槽;
(d)重复(b)-(c),以进一步蚀刻所述第一组沟槽和所述第二组沟槽,其中所述第一组沟槽和所述第二组沟槽彼此交错,其中所述第一阴影区和所述第二阴影区彼此交错,且其中在平行于所述多个线性掩模部分的方向上、在所述第一阴影区和所述第二阴影区彼此交错的区域形成所述沟道或纳米线。
23.如权利要求22所述的方法,其中,在(b)和/或(c)中的相对于所述离子轨迹定位所述衬底包括:(i)定位所述衬底和/或(ii)定位所述离子轨迹。
CN201510684338.8A 2014-10-21 2015-10-20 利用离子束刻蚀产生环栅结构 Active CN105575795B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/520,070 US9536748B2 (en) 2014-10-21 2014-10-21 Use of ion beam etching to generate gate-all-around structure
US14/520,070 2014-10-21

Publications (2)

Publication Number Publication Date
CN105575795A true CN105575795A (zh) 2016-05-11
CN105575795B CN105575795B (zh) 2019-02-19

Family

ID=55749607

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510684338.8A Active CN105575795B (zh) 2014-10-21 2015-10-20 利用离子束刻蚀产生环栅结构

Country Status (4)

Country Link
US (2) US9536748B2 (zh)
KR (1) KR102525801B1 (zh)
CN (1) CN105575795B (zh)
TW (1) TWI687962B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109478502A (zh) * 2016-08-09 2019-03-15 瓦里安半导体设备公司 使用倾斜离子束沉积的复合图案化掩模
CN110189987A (zh) * 2018-02-23 2019-08-30 三星电子株式会社 用于形成精细图案的方法
CN110473770A (zh) * 2018-05-11 2019-11-19 东京毅力科创株式会社 氧化物的原子层蚀刻的方法
CN112313547A (zh) * 2018-07-26 2021-02-02 脸谱科技有限责任公司 高折射率材料上的类布拉格光栅
CN113196123A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的电子束装置

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9786602B2 (en) * 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9812349B2 (en) * 2015-12-01 2017-11-07 Lam Research Corporation Control of the incidence angle of an ion beam on a substrate
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
WO2017205658A1 (en) * 2016-05-25 2017-11-30 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching on microdevices and nanodevices
US10381231B2 (en) 2016-06-21 2019-08-13 Veeco Instruments Inc. Ion beam etching
KR102595286B1 (ko) * 2016-09-05 2023-10-31 삼성전자주식회사 시간에 따라 연속적으로 제어되는 파라미터를 포함하는 이온 빔 장비, 이를 이용한 패턴 형성 방법, 및 이를 이용한 자기 기억 소자의 제조방법
US20190273133A1 (en) * 2016-12-14 2019-09-05 Intel Corporation Transistor source/drain amorphous interlayer arrangements
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
US10170304B1 (en) 2017-10-25 2019-01-01 Globalfoundries Inc. Self-aligned nanotube structures
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10354874B2 (en) * 2017-11-14 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Directional processing to remove a layer or a material formed over a substrate
KR102273970B1 (ko) 2017-12-26 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10598832B2 (en) 2018-01-09 2020-03-24 Varian Semiconductor Equipment Associates, Inc. System and method for forming diffracted optical element having varied gratings
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US20190393053A1 (en) * 2018-06-20 2019-12-26 Applied Materials, Inc. Etching apparatus
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
JP2022522419A (ja) 2019-02-28 2022-04-19 ラム リサーチ コーポレーション 側壁洗浄によるイオンビームエッチング
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
US20220415667A1 (en) * 2019-10-23 2022-12-29 Central Glass Company, Limited Dry Etching Method, Method for Producing Semiconductor Device, and Etching Device
US11852853B2 (en) 2020-02-07 2023-12-26 Applied Materials, Inc. Etch improvement
US20220102139A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multiple step directional patterning
US11462414B2 (en) * 2021-03-08 2022-10-04 Tokyo Electron Limited Atomic layer etching of metal oxides

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350499A (en) * 1990-09-17 1994-09-27 Matsushita Electric Industrial Co., Ltd. Method of producing microscopic structure
US6153474A (en) * 1996-03-04 2000-11-28 International Business Machines Corporation Method of controllably forming a LOCOS oxide layer over a portion of a vertically extending sidewall of a trench extending into a semiconductor substrate
JP2005004068A (ja) * 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
US20070063337A1 (en) * 2005-09-22 2007-03-22 Schubert Peter J Chip cooling system
TW200926326A (en) * 2007-09-28 2009-06-16 Varian Semiconductor Equipment Two-dimensional uniformity correction for ion beam assisted etching
US20140093745A1 (en) * 2012-09-28 2014-04-03 Seagate Technology, Lcc Dual-layer magnetic recording structure

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
US6992284B2 (en) 2003-10-20 2006-01-31 Ionwerks, Inc. Ion mobility TOF/MALDI/MS using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2006310633A (ja) * 2005-04-28 2006-11-09 Tokyo Electron Ltd 基板処理装置、基板処理方法、プログラム及び記憶媒体
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
US8603591B2 (en) * 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
CN102379005B (zh) 2009-04-13 2016-08-24 应用材料公司 用离子和中性束注入改变膜的磁性
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
CA2811750C (en) 2010-08-23 2018-08-07 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
CN103154310B (zh) 2010-10-05 2016-08-03 威科仪器有限公司 离子束系统、用于推进多个离子子束的设备以及相关方法
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US9653309B2 (en) 2012-05-25 2017-05-16 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
KR102025256B1 (ko) 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
KR102132215B1 (ko) 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
US9287123B2 (en) * 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9705071B2 (en) 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350499A (en) * 1990-09-17 1994-09-27 Matsushita Electric Industrial Co., Ltd. Method of producing microscopic structure
US6153474A (en) * 1996-03-04 2000-11-28 International Business Machines Corporation Method of controllably forming a LOCOS oxide layer over a portion of a vertically extending sidewall of a trench extending into a semiconductor substrate
JP2005004068A (ja) * 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
US20070063337A1 (en) * 2005-09-22 2007-03-22 Schubert Peter J Chip cooling system
TW200926326A (en) * 2007-09-28 2009-06-16 Varian Semiconductor Equipment Two-dimensional uniformity correction for ion beam assisted etching
US20140093745A1 (en) * 2012-09-28 2014-04-03 Seagate Technology, Lcc Dual-layer magnetic recording structure

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109478502A (zh) * 2016-08-09 2019-03-15 瓦里安半导体设备公司 使用倾斜离子束沉积的复合图案化掩模
CN109478502B (zh) * 2016-08-09 2022-12-06 瓦里安半导体设备公司 用于形成用以图案化衬底的结构的方法、图案化衬底的方法以及形成掩膜的方法
CN110189987A (zh) * 2018-02-23 2019-08-30 三星电子株式会社 用于形成精细图案的方法
CN110189987B (zh) * 2018-02-23 2023-12-26 三星电子株式会社 用于形成精细图案的方法
CN110473770A (zh) * 2018-05-11 2019-11-19 东京毅力科创株式会社 氧化物的原子层蚀刻的方法
CN110473770B (zh) * 2018-05-11 2024-04-02 东京毅力科创株式会社 氧化物的原子层蚀刻的方法
CN112313547A (zh) * 2018-07-26 2021-02-02 脸谱科技有限责任公司 高折射率材料上的类布拉格光栅
CN113196123A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的电子束装置
CN113227859A (zh) * 2018-12-17 2021-08-06 应用材料公司 使用电子束装置的光学设备制造方法

Also Published As

Publication number Publication date
US10483085B2 (en) 2019-11-19
US9536748B2 (en) 2017-01-03
US20170062181A1 (en) 2017-03-02
CN105575795B (zh) 2019-02-19
KR20160047399A (ko) 2016-05-02
US20160111294A1 (en) 2016-04-21
TWI687962B (zh) 2020-03-11
KR102525801B1 (ko) 2023-04-25
TW201628045A (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
CN105575795A (zh) 利用离子束刻蚀产生环栅结构
US10971368B2 (en) Techniques for processing substrates using directional reactive ion etching
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US11488823B2 (en) Techniques to engineer nanoscale patterned features using ions
US9257295B2 (en) Ion beam etching system
US9779955B2 (en) Ion beam etching utilizing cryogenic wafer temperatures
US9773675B2 (en) 3D material modification for advanced processing
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
CN107112207A (zh) 使用嵌段共聚物的定向自组装的自对准图案化
TW201715069A (zh) 用以選擇性地將碳層沉積在基底上的設備與方法
TW202036722A (zh) 對基板進行圖案化的方法以及對基板進行圖案化的裝置
TWI823958B (zh) 用以圖案化三維結構的方法及裝置處理方法與設備
Watanabe et al. Reduction of microtrenching and island formation in oxide plasma etching by employing electron beam charge neutralization
US11043394B1 (en) Techniques and apparatus for selective shaping of mask features using angled beams
KR20080064233A (ko) 반도체 소자 제조장비에서의 중성화 모듈 표면구조

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant