TWI520660B - 保形沈積的方法以及在工件中蝕刻三維特徵的方法 - Google Patents

保形沈積的方法以及在工件中蝕刻三維特徵的方法 Download PDF

Info

Publication number
TWI520660B
TWI520660B TW099110130A TW99110130A TWI520660B TW I520660 B TWI520660 B TW I520660B TW 099110130 A TW099110130 A TW 099110130A TW 99110130 A TW99110130 A TW 99110130A TW I520660 B TWI520660 B TW I520660B
Authority
TW
Taiwan
Prior art keywords
workpiece
dimensional feature
plasma
angle
distribution
Prior art date
Application number
TW099110130A
Other languages
English (en)
Other versions
TW201044921A (en
Inventor
盧多維克 葛特
提摩太J 米勒
喬治D 帕帕守爾艾迪斯
維克拉姆 辛
Original Assignee
瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/417,929 external-priority patent/US7767977B1/en
Priority claimed from US12/418,120 external-priority patent/US8623171B2/en
Priority claimed from US12/644,103 external-priority patent/US8101510B2/en
Priority claimed from US12/645,638 external-priority patent/US8603591B2/en
Application filed by 瓦里安半導體設備公司 filed Critical 瓦里安半導體設備公司
Publication of TW201044921A publication Critical patent/TW201044921A/zh
Application granted granted Critical
Publication of TWI520660B publication Critical patent/TWI520660B/zh

Links

Landscapes

  • Plasma Technology (AREA)

Description

保形沈積的方法以及在工件中蝕刻三維特徵的方法 【相關申請案之交叉參考】
本申請案為2009年12月22日申請之第12/644,103號美國申請案之部分延續案(continuation in part,CIP),第12/644,103號美國申請案本身是2009年4月3日申請之第12/418,120號美國申請案之部分延續案,所述美國申請案之揭露內容以引用之方式併入本文中。
本申請案亦與2009年4月3日申請之第12/417,929號美國申請案有關,所述美國申請案以引用之方式併入本文中。
本揭露案是有關於電漿處理(plasma processing),且更明確而言,是有關於電漿處理裝置(plasma processing apparatus)。
電漿處理裝置在處理腔室(process chamber)中產生電漿(plasma),以用於處理由處理腔室中之壓板(platen)支撐的工件(workpiece)。電漿處理裝置可包含(但不限於)摻雜系統(doping system)、蝕刻系統(etching system)以及沈積系統(deposition system)。電漿通常為離子(ion)(通常具有正電荷)與電子(具有負電荷)的準中性集合(quasi-neutral collection)。電漿在電漿之主體(bulk)中具有每公分約0伏特的電場(electric field)。在一些電漿處理裝置中,來自電漿之離子被朝工件吸引。在電漿摻雜裝 置(plasma doping apparatus)中,可用足夠的能量來吸引離子,以將其植入工件的實體結構(physical structure)(例如在一個例子中為半導體基板(semiconductor substrate))中。
電漿由接近工件的通常被稱為電漿鞘(plasma sheath)的區域定界。電漿鞘是與電漿相比具有較少電子的區域。來自此電漿鞘的光發射的強度小於電漿,這是因為存在較少電子,且因此鮮有激發-馳豫碰撞(excitation-relaxation collision)發生。因此,電漿鞘有時被稱為「暗區(dark space)」。
轉向圖1,說明已知電漿處理裝置之多個部分的橫截面圖,其中電漿140具有電漿鞘142,其鄰近待處理之工件138的前表面。工件138之前表面界定平面151,且工件138由壓板134支撐。電漿140與電漿鞘142之間的邊界141平行於平面151。來自電漿140之離子102可越過電漿鞘142被朝工件138吸引。因此,朝工件138加速之離子102通常相對於平面151以0°的入射角(angle of incidence)(例如,垂直於平面151)撞擊工件138。入射角可能存在小於約3°的較小角展(angular spread)。另外,藉由控制電漿處理參數(plasma process parameter)(諸如處理腔室內的氣體壓力),可使所述角展增加至多達約5°。
習知電漿處理的缺點為缺乏對離子102之角展控制。隨著工件上之結構變小,且隨著三維結構變得更常見(例如溝槽式電容器(trench capacitor)、垂直通道電晶體 (vertical channel transistor),諸如FinFET),具有較大的角度控制將是有益的。舉例而言,圖1中為說明的清楚起見而展示具有誇大尺寸的溝槽(trench)144。在以約0°的入射角或甚至至多達5°的角展來引導離子102的情況下,可能難以均勻地處理溝槽144之側壁(sidewall)147。
因此,需要一種電漿處理裝置,其克服上述不足及缺點。
藉由本文所揭露之電漿處理方法來克服先前技術之問題。在某些實施例中,使用電漿處理工具在工件上沈積材料。舉例而言,揭露一種用於材料之保形(conformal)沈積的方法。在此實施例中,電漿鞘形狀經修改以允許材料以某一入射角範圍衝擊工件。藉由隨時間過去而改變此入射角範圍,可在上面沈積多種不同特徵。在另一實施例中,使用電漿處理工具來蝕刻工件。在此實施例中,電漿鞘形狀經更改以允許離子以某一入射角範圍衝擊工件。藉由隨時間過去而改變此入射角範圍,可形成多種不同形狀之特徵。
圖2為與本揭露案之實施例一致之具有絕緣修改器208之電漿處理裝置200的方塊圖。絕緣修改器208經組態以修改電漿鞘242內之電場,以控制電漿140與電漿鞘242之間的邊界241的形狀。因此,越過電漿鞘242自電漿140吸引之離子102可以一入射角範圍撞擊工件138。
本文可進一步將電漿處理裝置200描述為電漿摻雜裝置。然而,電漿處理裝置200亦可包含(但不限於)蝕刻及沈積系統。此外,電漿摻雜系統可對經處理之工件執行許多不同材料修改處理。一種此類處理包含用所要摻雜劑來摻雜工件(諸如半導體基板)。
電漿處理裝置200可包含處理腔室202、壓板134、源206以及絕緣修改器208。壓板134定位於處理腔室202中,用於支撐工件138。工件可包含(但不限於)半導體晶圓、平坦面板、太陽電池板(solar panel)以及聚合物基板。在一實施例中,半導體晶圓可具有圓盤形狀,其具有300毫米(mm)之直徑。如此項技術中已知,源206經組態以在處理腔室202中產生電漿140。在圖2之實施例中,絕緣修改器208包含一對絕緣體212及214,其之間界定間隙,所述間隙具有水平間距(G)。在其他實施例中,絕緣修改器可僅包含一個絕緣體。此對絕緣體212及214可為具有薄的平坦形狀之一對薄片。在其他實施例中,此對絕緣體212及214可為其他形狀,諸如管形、楔形(wedge shaped),且/或具有接近所述間隙之傾斜邊緣。
在一實施例中,由此對絕緣體212及214界定之間隙的水平間距可為約6.0毫米(mm)。此對絕緣體212及214亦可定位在由工件138之前表面界定之平面151上方之垂直間距(vertical spacing)(Z)處。在一實施例中,所述垂直間距(Z)可為約3.0mm。
在操作中,氣體源(gas source)288將可離子化氣體 (ionizable gas)供應至處理腔室202。可離子化氣體之實例包含(但不限於)BF3、BI3、N2、Ar、PH3、AsH3、B2H6、H2、Xe、Kr、Ne、He、SiH4、SiF4、GeH4、GeF4、CH4、CF4、AsF5、PF3及PF5。源(source)206可藉由激發及離子化提供至處理腔室202之氣體而產生電漿140。可藉由不同機制越過電漿鞘242自電漿140吸引離子。在圖2之實施例中,偏壓源(bias source)290經組態以加偏壓於工件138,以越過電漿鞘242自電漿140吸引離子102。偏壓源290可為用以提供DC電壓偏壓信號之DC電源,或用以提供RF偏壓信號之RF電源。
有利的是,絕緣修改器208修改電漿鞘242內之電場,以控制電漿140與電漿鞘242之間的邊界241的形狀。在圖2之實施例中,絕緣修改器208包含一對絕緣體212及214。絕緣體212、214可由石英、氧化鋁、氮化硼、玻璃、氮化矽等製造。電漿140與電漿鞘242之間的邊界241可相對於平面151具有凸形狀。當偏壓源290加偏壓於工件138時,離子102以較大的入射角範圍被越過電漿鞘242而吸引穿過絕緣體212與214之間的間隙。舉例而言,遵循軌跡路徑(trajectory path)271之離子可相對於平面151以+θ°的角度撞擊工件138。遵循軌跡路徑270之離子可相對於同一平面151以約0°的角度撞擊工件138。遵循軌跡路徑269之離子可相對於平面151以-θ°的角度撞擊工件138。因此,入射角之範圍可在以約0°為中心之+θ°與-θ°之間。另外,一些離子軌跡路徑(諸如軌跡路徑269及軌 跡路徑271)可彼此交叉。視若干因數(包含但不限於,絕緣體212與214之間的水平間距(G)、絕緣體在平面151上方之垂直間距(Z)、絕緣體212及214之介電常數(dielectric constant)以及其他電漿處理參數)而定,入射角(θ)之範圍可在以約0°為中心之+60°與-60°之間。因此,工件138上之較小的三維結構可由離子102均勻地處理。舉例而言,與圖1之情形相比,溝槽244之為說明之清楚起見而具有誇大尺寸之側壁247可由離子102更均勻地處理。
轉向圖3,說明一例示性電漿摻雜裝置300的方塊圖。與圖2之裝置一致,電漿摻雜裝置300具有一對絕緣體212及214,以控制電漿140與電漿鞘242之間的邊界241的形狀。
電漿摻雜裝置300包含處理腔室202,其界定封閉體(enclosed volume)303。氣體源304經由質量流量控制器(mass flow controller)306向處理腔室302之封閉體303提供主要摻雜劑氣體(primary dopant gas)。氣體隔板(gas baffle)370可定位於處理腔室202中,以使來自氣體源304之氣體流偏轉。壓力計(pressure gauge)308量測處理腔室202內之壓力。真空泵(vacuum pump)312經由排氣口(exhaust port)310抽空來自處理腔室202之排氣。排氣閥(exhaust valve)314控制經由排氣口310之排氣傳導性(exhaust conductance)。
電漿摻雜裝置300可進一步包含氣體壓力控制器(gas pressure controller)316,其電連接至質量流量控制器306、壓力計308及排氣閥314。氣體壓力控制器316可經組態以藉由在回應於壓力計308之回饋環路中用排氣閥314控制排氣傳導性或用質量流量控制器306控制處理氣體流動速率,來維持處理腔室202中之所要壓力。
處理腔室202可具有腔室頂部(chamber top)318,其包含第一區段(first section)320,所述第一區段320由介電材料形成,且在大體水平方向上延伸。腔室頂部318亦包含第二區段(second section)322,其由介電材料形成,且在大體垂直方向上自第一區段320延伸一高度。腔室頂部318進一步包含蓋(lid)324,其由導電且導熱之材料形成,且在水平方向上延伸越過第二區段322。
電漿摻雜裝置進一步包含源301,其經組態以在處理腔室202內產生電漿140。源301可包含RF源350,諸如電源,用以將RF功率供應至平面天線(planar antenna)326及螺旋天線(helical antenna)346中之一者或兩者,以產生電漿140。RF源350可藉由阻抗匹配網路(impedance matching network)352耦合至平面天線326、螺旋天線346,阻抗匹配網路352使RF源350之輸出阻抗與平面天線326、螺旋天線346之阻抗匹配,以便使自RF源350轉移至平面天線326、螺旋天線346之功率增至最大。
電漿摻雜裝置亦可包含偏壓電源(bias power supply)390,其電耦合至壓板134。電漿摻雜系統可進一步包含控 制器356及使用者介面系統(user interface system)358。控制器356可為或包含通用電腦(general-purpose computer)或通用電腦之網路,其可經程式化以執行所要之輸入/輸出功能。控制器356亦可包含通信設備、資料儲存設備及軟體。使用者介面系統358可包含諸如觸控式螢幕、鍵盤、使用者指點設備(user pointing device)、顯示器、印表機等設備,以允許使用者經由控制器356輸入命令及/或資料,且/或監視電漿摻雜裝置。屏蔽環(shield ring)394可安置於壓板134周圍,以改良工件138之邊緣附近之所植入離子分佈的均勻性。亦可將諸如法拉第杯(Faraday cup)399之一或多個法拉第感測器定位於屏蔽環394中,以感測離子束電流。
在操作中,氣體源304供應含有所要摻雜劑之主要摻雜劑氣體,以供植入工件138中。源301經組態以在處理腔室302內產生電漿140。源301可由控制器356控制。為了產生電漿140,RF源350使平面天線326、螺旋天線346中之至少一者中之RF電流諧振,以產生振盪磁場。所述振盪磁場將RF電流感應至處理腔室202中。處理腔室202中之RF電流激發並離子化主要摻雜劑氣體,以產生電漿140。
偏壓電源390提供具有脈衝接通(ON)及斷開(OFF)週期的經脈衝之壓板信號,以加偏壓於壓板134,且因此加偏壓於工件138,以使來自電漿140之離子越過電漿鞘242朝工件138加速。離子102可為帶正電之離子,且因 此經脈衝之壓板信號之脈衝接通週期可相對於處理腔室202為負電壓脈衝,以吸引帶正電之離子102。可選擇經脈衝之壓板信號之頻率及/或脈衝之工作週期,以提供所要之劑量率。可選擇經脈衝之壓板信號之振幅,以提供所要之能量。
有利的是,此對絕緣體212及214控制電漿140與電漿鞘242之間的邊界241的形狀,如先前相對於圖2詳述。因此,可以較大的入射角範圍越過電漿鞘242吸引離子102穿過絕緣體212與214之間的間隙,以用於摻雜工件138。
轉向圖4,說明此對絕緣體212及214以及工件138之局部橫截面圖,其繪示電漿鞘242中圍繞由絕緣體212及214界定之間隙的電場線。所述電場線以及電漿與電漿鞘242之間的所得弓形邊界241得自電腦模擬,其中工件138在-2,000伏特下加偏壓,且絕緣體212及214由玻璃製造。如所說明,圍繞所述間隙之弓形邊界241可進一步具有相對於平面151之凸形狀。
圖5為與圖4一致的橫截面圖,其說明越過電漿鞘242而加速穿過絕緣體212與214之間的間隙的模擬離子軌跡。在電漿摻雜裝置中,離子可因邊界241之形狀以及電漿鞘242內之電場線而在間隙間距之中心區域中植入工件138中。舉例而言,在絕緣體212與214之間的總水平間距(G1)中,離子圍繞中心水平間距(G3)撞擊工件138。在此實施例中,無離子圍繞接近絕緣體212及214之周邊水平間距(G2)及(G4)撞擊工件。
圖6是與圖5所說明之離子軌跡一致的撞擊工件138之離子的入射角分佈的曲線602。如圖所示,曲線602顯示入射角以約0°為中心,且在自約+60°至-60°之較大角範圍上改變。此較大入射角範圍達成三維結構之保形(conformal)摻雜。舉例而言,可用具有此較大入射角範圍的離子更均勻地摻雜溝槽結構之側壁。
轉向圖7,說明與本揭露案一致的另一實施例的方塊圖,其中可調整絕緣修改器與由工件138之前表面界定之平面151之間的垂直間距(Z)。絕緣修改器可為此對絕緣體212及214,如在其他實施例中詳述。致動器(actuator)702可機械耦合至此對絕緣體212及214,以在如由箭頭720、722所示之相對於平面151之垂直方向上驅動絕緣體。此對絕緣體212及214相對於平面151且亦相對於彼此的Z位置影響電漿與電漿鞘之間的邊界的形狀,且亦影響撞擊工件138之離子的軌跡。致動器702可由控制器(諸如控制器356)控制。
圖8為與圖7一致的橫截面圖,用以說明在所有其他參數相等之情況下,在此對絕緣體212及214相對於平面151之不同Z位置處的離子軌跡。在第一相對較短之Z間隙位置820中,絕緣體212、214定位於平面151上方Z間隙距離(Z1)處。在相比而言較高之Z間隙位置840處,絕緣體212、214定位於平面151上方Z間隙距離(Z2)處,其中(Z2)>(Z1)。在Z間隙位置820中,電漿與電漿鞘之間的邊界841具有相對於平面151之凸形狀。邊界 841亦具有近似接近圓之圓周的一部分之形狀的形狀,其中弓形形狀之頂點在絕緣體212之頂部表面上方一距離(Za)處。相反,Z間隙位置840中之邊界843具有較淺形狀,其中弓形形狀之頂點在絕緣體212之頂部表面上方較短距離(Zb)處,或其中(Zb)<(Za)。與Z間隙距離(Z1)及(Z2)組合之邊界841、843之形狀以及電漿鞘中之電場線影響撞擊工件138之離子的角展。舉例而言,以相對較短之Z間隙位置820撞擊工件138的離子的角展大於以相對較長之Z間隙位置撞擊工件138的離子的角展。另外,與具有較高Z間隙位置之水平間距(G6)相比,離子撞擊工件138之具有較短Z間隙位置820的較寬水平間距(G5),其中(G6)<(G5)。儘管圖8中未說明,但每一絕緣體212及214之Z間隙位置亦可彼此不同,以進一步影響電漿與電漿鞘之間的邊界的形狀,且因此影響離子之角展。
轉向圖9,說明與本揭露案一致之另一實施例的方塊圖,其中可調整絕緣體212與214之間的水平間距(G)。水平間距調整可代替或加上圖8及圖9早先詳述之垂直間距調整。致動器902可機械耦合至此對絕緣體212及214中之至少一者,以在由箭頭906所示之方向上,相對於彼此驅動絕緣體。致動器902可由控制器(諸如控制器356)控制。
圖10為與圖9一致的橫截面圖,用以說明在所有其他參數相等之情況下,在絕緣體212與214之間的不同水 平間隙間距下的離子軌跡。在第一相對較短水平間隙位置1020中,絕緣體212、214定位為彼此相距第一水平距離(Ga)。在相比而言較長之水平間隙位置1040中,絕緣體212、214定位為彼此相距第二水平距離(Gb),其中(Gb)>(Ga)。在水平間隙位置1020中,電漿與電漿鞘之間的邊界1041具有相對於平面151之凸形狀。邊界1041亦具有近似接近圓之圓周的一部分之形狀的形狀。相反,水平間隙位置1040中之邊界1043具有相對於平面151之凸形狀,其中邊界1043之中心部分大約平行於平面151。因此,用相對於平面151具有約0°之入射角的離子撞擊工件138之較大對應中心部分。
圖11為具有用以相對於工件138驅動絕緣修改器208之掃描系統1102的電漿處理裝置1100的方塊圖。在圖11之實施例中,絕緣修改器208包含一對正方形絕緣薄片1112及1114,其為最佳(在圖12中可見)。掃描系統1102可包含致動器1104,其機械耦合至絕緣薄片1112及1114,以驅動所述絕緣薄片1112及1114。致動器1104可由控制器(諸如控制器356)控制。
圖12為正方形絕緣薄片1112及1114以及圓盤形工件138之平面圖,用以說明其間之相對移動的一實例。在圖12之實施例中,掃描系統1102可自位置A至位置B及位置C等驅動正方形絕緣薄片1112及1114,使得工件138之所有部分暴露於由此對正方形絕緣薄片1112及1114界定之間隙。若笛卡爾座標系統(Cartesian coordinate system) 如圖12中詳述般界定,則在圖12之X方向上驅動絕緣薄片1112及1114。在其他實施例中,可在Y方向上或以X與Y方向之間的任何角度驅動絕緣薄片1112及1114或另一組不同的絕緣薄片。另外,工件138可隨著掃描系統1102在一個方向上驅動絕緣薄片1112及1114而旋轉。工件138亦可在掃描系統1102在一個方向上驅動絕緣薄片之後旋轉一預定旋轉角度。在一實例中,所述旋轉可圍繞工件之中心軸,如由箭頭1124所說明。
轉向圖13,說明與圖11一致之掃描系統1102。與圖11相比,圖13之掃描系統1102包含多個絕緣體1302-1、1302-2、1302-3、...、1302-(n-1)以及1302-n,在其之間界定多個間隙1303-1、1303-2、...、1303-n。掃描系統可相對於工件138驅動所述多個絕緣體1302-1、1302-2、1302-3、...、1302-(n-1)以及1302-n,因此所述多個間隙1303-1、1303-2、...、1303-n經過工件138。
圖14繪示圖2之電漿處理裝置的第二實施例。如上文所述,電漿處理裝置200可包含處理腔室202、壓板134、源206以及絕緣修改器248。在圖14之實施例中,絕緣修改器248包含絕緣體252及254,在其之間界定具有水平間距(G)的間隙。在其他實施例中,絕緣修改器248可僅包含一個絕緣體。在一個實施例中,由絕緣體252及254界定之間隙的水平間距可在約1毫米(mm)與60mm之間,視鞘厚度及所要之角分佈而定。
絕緣體252及254亦可定位於由工件138之前表面界 定之平面151上方之Z間隙距離(Z1、Z2)處。在一實施例中,較靠近之Z間隙距離(Z1)可在約1mm與10mm之間。在一些實施例中,絕緣體之間的高度差異(亦即,Z2-Z1)可在約0mm與40mm之間,視鞘厚度及所要之角分佈而定。雖然圖14在大於絕緣體254之垂直高度處繪示絕緣體252,但若需要,絕緣體254可具有大於絕緣體252之垂直高度。
兩個絕緣體之間的垂直高度的差異形成相對於平面151之間隙角度。藉由形成平面257來量測間隙角度,平面257穿過絕緣體252的最靠近鞘且接近間隙的邊緣,以及絕緣體254的最靠近鞘且接近間隙的邊緣。平面257與平面151之間的角度界定間隙角度(Ψ)。在一些實施例中,沿平面257,而非沿水平方向,量測間隙寬度(gap width)(δ)。間隙寬度(δ)根據以下等式與水平間距(horizontal spacing)(G)有關:δ=G/cos(Ψ),其中Ψ為間隙角度。間隙寬度(δ)可在0mm與40mm之間。在一些實施例中,水平間距可為0,或甚至為負(其在絕緣體彼此重疊時達成)。與0mm或負水平間距結合之Z2-Z1之較大差異可用於形成非常大的中心角,諸如大於80°。
如下文將更詳細地描述,所揭露之裝置可用於形成離子之角分佈。這些角分佈(諸如圖16及圖23中所示之角分佈)可由兩個參數表徵。第一參數為中心角,其為形成 角分佈之中心的角度。將中心角界定為自與平面151之正交的角偏差。換言之,垂直於平面151撞擊之離子被稱為具有0°之中心角。隨著入射角變得更平行於平面151,其值增加。
在圖16中,中心角對應於約45°。在圖23中,存在兩個中心角,在-45°及+45°處。所關注的第二參數為角展,或角範圍。此為離子圍繞中心角之分佈。換言之,所有離子並非以同一角度撞擊工件。相反,離子以具有圍繞中心角之角分佈的情形到達。在圖16中,角度之分佈大約自35°至55°;進而具有約20°之角展(或範圍)。類似地,圖23之角展(或角分佈)為約20°。
間隙角度(Ψ)有助於界定中心角。為形成不垂直於工件平面151的中心角(亦即,非零中心角),間隙角度(Ψ)可為非零。換言之,非零間隙角度(Ψ)暗示間隙平面257不平行於工件平面151。藉由具有非零間隙角度(Ψ),中心角改變,以便不垂直工件平面151。較大的間隙角度(亦即,大於30°)通常形成較大的中心角偏差(亦即,大於30°)。較小的間隙角度(亦即,當間隙平面257與工件平面151幾乎平行時)產生較小的中心角(亦即,小於10°)。
電漿140與電漿鞘242之間的邊界241可相對於平面151具有不規則形狀。當偏壓源290加偏壓於工件138時,離子102以較大的中心角範圍越過電漿鞘242被吸引穿過絕緣體252與254之間的間隙。舉例而言,離子可相對於平面151以+θ°的非零中心角撞擊工件138。若絕緣體之垂 直間距反轉,則離子可相對於平面151以-θ°的非零中心角撞擊工件138。因此,入射角之範圍可以約θ°為中心,其中θ在-80°與80°之間。視若干因數(包含但不限於絕緣體252與254之間的水平間距(G)、絕緣體在平面151上方之Z間隙距離(Z1、Z2)、間隙寬度(δ)、間隙角度(Ψ)、垂直間距差異(Z2-Z1)、絕緣體252及254之介電常數、絕緣體252及254之介電厚度以及其他電漿處理參數)而定,可修改入射角(θ)之範圍及中心。舉例而言,角分佈可在+5度與-5度之間,而中心角可在-80°與+80°之間。在其他實施例中,角分佈可更大(或更小)。類似地,可修改中心角以達成其他值。因此,工件138上之較小三維結構可由離子102均勻地處理。
圖15為橫截面圖,其說明越過電漿鞘242而加速穿過絕緣體252與254之間的間隙的模擬離子軌跡。在電漿摻雜裝置中,離子可因邊界241之形狀以及電漿鞘242內之電場線而在間隙間距之中心區域中植入工件138中。舉例而言,歸因於兩個絕緣體252、254之間的垂直間距差異,離子在空間(G7)中以非零角度撞擊工件。另外,在此實施例中,少數離子在空間G7外撞擊接近絕緣體之工件。
圖16是與圖15所說明之離子軌跡一致的撞擊工件138之離子的入射角分佈的曲線603。如圖所示,曲線603顯示入射角以約45度之非零中心角為中心,圍繞此中心角具有約20度的角分佈。在其他實施例中,中心角可在-80 度與+80度之間變化,且圍繞中心角之角分佈可自約+20度至-20度變化。此入射角範圍達成三維結構之保形摻雜。
藉由改變間隙寬度(δ)、絕緣體之間的間距(Z2-Z1)以及絕緣體相對於工件之位置(Z1),中心角及角分佈可經修改,以達成較寬的數值範圍,包含(但不限於)具有較小角分佈(亦即,小於5°)之較大中心角(亦即,大於60°)、具有較大角分佈(亦即,大於10°)之較大中心角(亦即,大於60°)、具有較大角分佈(亦即,大於10°)之較小中心角(亦即,小於40°)以及具有較小角分佈(小於5°)之較小中心角(小於40°)。
轉向圖17,說明與本揭露案一致的另一實施例的方塊圖,其中可調整絕緣修改器與由工件138之前表面界定之平面151之間的Z間隙距離(Z1、Z2)。絕緣修改器可為絕緣體252及254,如在其他實施例中詳述。致動器703a、703b可分別機械耦合至絕緣體252及254,以在如由箭頭730、732所示之相對於平面151之垂直方向上驅動絕緣體。絕緣體252及254相對於平面151且亦相對於彼此的Z位置影響電漿與電漿鞘之間的邊界的形狀,且亦影響撞擊工件138之離子的軌跡。致動器703a、703b可由控制器(諸如控制器356a、356b)控制。在其他實施例中,使用單一控制器來控制兩個致動器703a、703b。
圖18及圖19為與圖17一致的橫截面圖,用以說明在所有其他參數相等之情況下,在絕緣體252及254相對於平面151之不同Z位置處的離子軌跡。在圖18中,絕 緣體252、254垂直間隔開距離(Z2a-Z1)。在圖19中,使用第二垂直間距(Z2b-Z1)來定位絕緣體252、254,其中Z2b>Z2a。因此,間隙角度(Ψ)在圖19中較大。在圖18中,電漿與電漿鞘之間的邊界863相對於平面151具有大致凸形狀。相反,在圖19中,邊界963具有較淺形狀。邊界863、963之形狀結合Z間隙距離(Z1)及(Z2a、Z2b)、間隙角度(Ψ)以及電漿鞘中之電場線影響離子撞擊工件138的中心角。舉例而言,以相對較短的垂直間距(較小間隙角度)撞擊工件138的離子的中心角比圖19中所示之以相對較大的垂直間距(較大間隙角度)撞擊工件138的離子的中心角更靠近零度(亦即,更靠近以垂直角度撞擊工件)。
在另一實施例中,絕緣體之間的垂直間距(Z2-Z1)得以維持,而Z1改變。此情形具有使絕緣體更靠近(或遠離)工件而移動且同時維持間隙角度(Ψ)的作用。在此實施例中,中心角保持恆定,而角分佈隨著Z1改變而改變。在一些實施例中,角分佈隨著Z1減小而增加,而所述分佈隨著Z1增加而減小。換言之,例如,Z1之一個值可導致圍繞中心角之5°至10°的角分佈,而Z1的較小值可導致20°至30°的角分佈。此效應可歸因於電漿與電漿鞘之間的邊界之形狀的改變,其隨著絕緣體相對於工件移動而改變。
轉向圖20,說明與本揭露案一致之另一實施例的方塊圖,其中可調整絕緣體252與254之間的水平間距(G)。 水平間距調整可代替或加上先前詳述之圖18及圖19之垂直間距調整。致動器912可機械耦合至絕緣體252及254中之至少一者,以在由箭頭916所示之方向上相對於彼此驅動絕緣體。致動器912可由控制器(諸如控制器356)控制。水平間距(G)之修改影響間隙寬度(δ)及間隙角度(Ψ)兩者。
在一實施例中,絕緣體之間的水平間隙間距(G)是變化的。水平間隙間距之修改可用於影響中心角及角分佈兩者。舉例而言,若水平間隙間距減小至0,或藉由使絕緣體重疊而為負,如圖21中所示,則中心角可變得非常大。較小的正水平間隙間距將導致較大的間隙角度(Ψ),視Z2及Z1之值而定,從而導致較大的中心角。較大的正水平間隙間距將減小間隙角度(Ψ),從而導致較小的中心角。
可使用圖22所示之組態來形成諸如圖23所示之雙峰式角展(bimodal angular spread)1200。雙峰式角展涉及具有第一角分佈之第一中心角以及具有第二角分佈之第二中心角。亦可藉由改變僅兩個絕緣體之相對垂直位置(諸如圖15所說明)來形成此雙峰式角展。在圖22之實施例中,使用至少三個絕緣體1400、1402、1404。藉由將外部兩個絕緣體1400、1404配置於同一垂直平面(Z2)上,且維持所述絕緣體之間的相同水平間距G8、G9,有可能形成對稱的雙峰式角展1200,以約+/- θ°為中心。如上文所述,可藉由改變外部絕緣體1400、1404與中間絕緣體1402之 間的垂直間距來修改中心角,以便改變間隙角度(Ψ)。可藉由改變絕緣體1400、1402、1404之間的水平間距(G8、G9)來修改角展,以便改變間隙寬度(δ)。可藉由使Z2a不同於Z2b、藉由選擇G8不同於G9或上述兩個動作之組合,來形成非對稱分佈。
雖然前述實施例將絕緣體繪示為平面的,但此並非本揭露案之要求。圖24a至圖24c繪示絕緣體之若干其他實施例。圖24a繪示倒置「V」形絕緣體組態。如上文所述,電漿鞘遵循絕緣體之形狀。因此,鞘形成對應的倒置「V」形狀。絕緣體1500中之間隙允許離子經過絕緣體。倒置「V」之斜率(如由Φ界定)界定離子分佈之中心角。在此實施例中,間隙角度(Ψ)將為Φ之餘角(complement)。間隙Gc、Gd分別界定角展α1、α2。如在將圖24a與圖24b進行比較時可見,較大的間隙寬度(諸如Gc)比較窄的間隙寬度Gd允許更大的角展(亦即,α1>α2)。圖24c說明另一實施例,其中絕緣體1502是非線性的、彎曲的或曲線的,使得間隙寬度Ge與工件138成一角度。如上文所闡釋,間隙角度決定中心角,而間隙之寬度決定角展。
其他實施例亦為可能的,且在本揭露案之範疇內。舉例而言,在一些實施例中,使用兩個或兩個以上絕緣體,其中所述絕緣體間隔開,以便在其間形成間隙。絕緣體之間的間隙允許離子穿過而到達工件。在其他實施例中,使用單一絕緣體,其中具有至少一個開口或間隙,而離子可穿過所述開口或間隙。
當開發系統時,存在若干考慮因素。較高的間隙角度(Ψ)導致離子分佈之較大中心角。開口沿平面257之長度界定間隙之寬度(δ)。間隙寬度(δ)影響離子分佈之角展。重要的是,注意此兩個變數彼此獨立。換言之,可在不改變間隙寬度(δ)之情況下修改間隙角度(Ψ)。類似地,可在不影響間隙角度(Ψ)之情況下改變間隙寬度(δ)。另一所關注變數為自間隙(或任一絕緣體)至工件138之距離。此外,此變數可獨立於其他兩個變數而改變。獨立的水平及垂直致動器(見圖17及圖20)之使用允許判定這些參數時之最大靈活性。
圖25為具有用以相對於工件138驅動絕緣修改器248之掃描系統1602的電漿處理裝置1600的方塊圖。在圖25之實施例中,絕緣修改器248包含正方形絕緣薄片1612及1614,其為最佳(在圖26中可見)。掃描系統1602可包含一或多個致動器1604,其機械耦接至絕緣薄片1612及1614,以在垂直及水平方向上驅動所述絕緣薄片1612及1614。致動器1604可由控制器(諸如控制器356)控制。
圖26為正方形絕緣薄片1612及1614以及圓盤形工件138之平面圖,用以說明其間之相對移動的一實例。在圖26之實施例中,掃描系統1602可自位置A至位置B及位置C等驅動正方形絕緣薄片1612及1614,使得工件138之所有部分暴露於由正方形絕緣薄片1612及1614界定之間隙。若笛卡爾座標系統如圖26中詳述般界定,則在圖26之X方向上驅動絕緣薄片1612及1614。在其他實施例 中,可在Y方向上或以X與Y方向之間的任何角度驅動絕緣薄片1612及1614或另一組不同的絕緣薄片。另外,工件138可隨著掃描系統1602在一個方向上驅動絕緣薄片1612及1614而旋轉。工件138亦可在掃描系統1602在一個方向上驅動絕緣薄片之後旋轉一預定旋轉角度。在一實例中,所述旋轉可圍繞工件之中心軸,如由箭頭1624所說明。
儘管將圖25之掃描系統繪示為具有彼此相距一垂直間距之兩個絕緣板,但其他實施例是可能的。舉例而言,可使用三個絕緣板來形成掃描系統,進而形成兩個間隙,如圖22所示。另外,可在掃描系統中使用替代形狀(諸如圖24a至圖24c所示之形狀)。此外,這些圖中所示之圖案可複製,使得工件之寬度或長度上存在多個間隙。在一些實施例中,所有間隙產生相同的角分佈(如圖15至圖16所示)。在其他實施例中,所述間隙以+/- θ°產生相反分佈(如圖22至圖23所示)。在其他實施例中,所述間隙用於產生變化之角分佈。在此實施例中,工件所經歷之最終角分佈將為各種角分佈之總和。
轉向圖27,說明與圖25一致之掃描系統1602。與圖25相比,圖27之掃描系統1602包含多個絕緣體1702-1、1702-2、1702-3、...、1702-(n-1)以及1702-n,在其之間界定多個間隙1703-1、1703-2、...、1703-n。掃描系統可相對於工件138驅動所述多個絕緣體1702-1、1702-2、1702-3、...、1702-(n-1)及1702-n,因此所述多個間隙 1703-1、1703-2、...、1703-n經過工件138。
可藉由改變間隙間距或藉由改變垂直間距(Z2-Z1)來進行間隙角度(Ψ)之修改。對間隙角度之改變可影響中心角。可藉由改變絕緣體之高度(Z1)或藉由改變間隙間距來進行角分佈之修改。可使用對所有三個參數(Z2、Z1及間隙間距)之修改來形成具有所要角分佈或角展的所要中心角。
另外,冷卻絕緣體252及254可為有益或有利的。在一些實施例中,這些絕緣體可具有嵌入其中之通道,藉此流體(諸如液體或氣體)可經過,以移除熱量。在其他實施例中,絕緣體可為良好的熱導體,且可與散熱片(thermal sink)接觸。
如上文所提及,鞘修改可用以執行多種電漿處理步驟。舉例而言,沈積或蝕刻製程可利用這些技術。舉例而言,關於沈積,在半導體處理中通常有必要在高縱橫比(aspect ratio)間隙中沈積保形(亦即,相等厚度)膜。
在此處理中,來自電漿之材料沈積於工件之表面上,進而在工件頂上形成膜。此步驟可進行若干次以形成不同的膜厚度。較難在三維特徵上沈積,因為所述特徵之表面可能相對於工件之頂面為垂直的。較大的縱橫比甚至更難以在上面進行沈積。將縱橫比界定為特定特徵(諸如間隔物(spacer)或溝槽)之深度(或高度)除以其寬度。在元件幾何形狀縮小時,對高縱橫比空間(其中高縱橫比被界定為大於3.0:1)之無空隙填充(void-free filling)由於 現存沈積製程中之限制而變得愈加困難。
在溝槽及間隔物中形成襯料(liner)是這些困難製程之實例。將低介電常數膜整合至銅鑲嵌堆疊中的主要挑戰是在溝槽處,尤其在側壁處,密封多孔介電膜與導電銅擴散障壁之間的界面。
電漿增強化學氣相沈積(Plasma Enhanced Chemical Vapor Deposition,PECVD)是可用以形成這些襯料之一種可能製程。然而,直視(line-of-sight)製程之效能受三維特徵之縱橫比限制。隨著縱橫比增加,密封製程之覆蓋率降低,且因此效能降低。
為溝槽加襯料僅為需要對傳統PECVD製程之修改的電漿製程之一實例。沈積經摻雜(摻雜有硼或磷)之矽膜以形成三維元件是另一實例。在內連線層級處形成氣隙(air gap)是又一實例。
圖28b至圖28c繪示多種三維特徵,其可使用本揭露案中所描述之PECVD製程來保形地沈積。圖28a繪示習知的平坦工件表面。此表面最佳用離子或中性粒子沈積,所述離子或中性粒全部垂直於或近似垂直於工件之表面。圖29a繪示最佳沈積之離子角分佈。此沈積是在不對電漿鞘進行任何修改之情況下進行的。
圖28b繪示與圖28c之溝槽相比較窄、較深的溝槽。此溝槽具有左側壁1720、右側壁1722以及底面1724。將均勻塗層或層1726塗佈於基板之表面,包含基板表面1728、側壁1720、1722以及底面1724。顯然,僅垂直於 基板表面1728之入射束可僅用以塗覆基板表面1728及底面1724。入射角是相對於垂直於工件平面151之線而界定的。因此,0°之入射角垂直於工件平面151。軌跡1730具有0°之入射角,而軌跡1732與軌跡1734相比具有較大的入射角。軌跡1734被繪示為具有θ1°之入射角。
為在側壁上適當且均勻地沈積材料,有必要具有經修改之離子角分佈。具有0°之入射角(軌跡1730)之離子在基板表面1728及底面1724上沈積材料。具有大於特定值之角度的離子(諸如沿軌跡1732行進之離子)可僅在側壁1720、1724之上部沈積材料,因為基板表面1728投射陰影,其阻止離子到達側壁之下部部分。具有小於此特定值之軌跡1734的入射角的離子能夠在側壁7120、1722之所有部分上沈積材料。因此,若特徵之深度由變數d表示,且特徵之寬度由變數w表示,則能夠在側壁之底部部分上沈積材料之最大入射角θ1由下式界定:tan θ1=w/d,或θ1=arctan(w/d)
大於θ1之角度可能僅在側壁之一部分上沈積材料,進而形成不均勻的材料層。
圖30a繪示圖28b之特徵。在工件上及特徵內標記各個點(Q至Z)。舉例而言,Q、R、S在工件上位於特徵之左側,而點X、Y、Z在工件上位於特徵之右側。點T、W沿特徵之側壁,且點U、V在特徵之底面上。
圖30b至圖30f繪示各種時序圖。假定相對於工件掃描上文所描述之間隙,使得間隙自特徵之左側向右側移動 (如圖30a所示)。每一時序圖繪示隨時間改變而沈積的高度。
舉例而言,圖30b繪示當使用0°之入射角時的時序圖。在此實施例中,隨著間隙向右側移動,材料沿工件之表面沈積。一旦開口直接位於特徵上方(亦即,點S),沈積之深度便改變,對應於特徵之底部。當間隙直接位於點X上方時,高度再次改變,因為沈積目前發生於工件之表面上。應注意,側壁無法使用0°之入射角來沈積。
圖30c繪示針對小於θ1(界定為arctan(w/d))之入射角的時序圖。在此圖中,離子到達工件之在間隙左側的一部分。換言之,當間隙直接位於點S上方時,離子以R與S之間的點為目標。因此,圖30c之第一部分為圖30b之經延遲的型式。當間隙到達離子接觸點S的點時,間隙之進一步橫向移動將致使離子撞擊左側壁,從而到達點T及點U。由於入射角小於θ1,因此整個側壁被沈積。隨著間隙繼續向右側移動,最終離子無法對特徵進行沈積,因為工件表面(亦即,點X)阻擋離子。此時,離子繼續在工件之頂面上沈積,其再次為圖30b之經延遲的型式。由於離子向左側成角度,因此右側壁從未被沈積。
圖30d繪示針對大於θ1之入射角的時序圖。此圖接近地類似於圖30c,但具有若干重要差異。首先,所述圖相對於頂面(亦即,Q、R、S、X、Y、Z)進一步延遲。而且,由於增加之入射角,離子在被頂面(點X)阻擋之前無法到達特徵之底部(點U)。因此,底面及右側壁未被沈 積。
圖30e繪示針對負的但大於-θ1之入射角的時序圖。此圖對應於圖30c,其中離子到達整個側壁且亦到達特徵之底部的一部分。由於離子直接向下及向右,因此右側壁被沈積,但左側壁不被沈積。
圖30f繪示針對比-θ1負更多之入射角的時序圖。此圖繪示離子從未到達特徵之底面,且僅沈積在右側壁之一部分上。
藉由選擇入射角之正確範圍,有可能形成三維特徵之所要沈積。圖30b至圖30f之圖為實例,且點Q至點Z之位置僅用於說明改變入射角之作用。
圖28c繪示與圖28b相比較寬、較淺的溝槽。此溝槽具有左側壁1740、右側壁1742以及底面1744。將均勻的塗層或層1746塗佈於基板之表面,包含基板表面1728、側壁1740、1742以及底面1744。如下文所述,為在側壁上適當且均勻地沈積材料,有必要具有經修改之離子角分佈。具有0°之入射角的離子(沿軌跡1750行進之離子)在基板及底面上沈積材料。具有大於約70°之入射角的離子(諸如遵循軌跡1752之離子)可僅在側壁1740、1742之上部部分上沈積材料,因為基板表面1728投射陰影,其阻止離子到達側壁之下部部分。具有相對較小入射角之離子(例如,遵循軌跡1754之離子)能夠在側壁1740、1742之所有部分上以及底面1744上沈積材料。因此,若特徵之深度由變數d表示,且特徵之寬度由變數w表示,則能夠 在側壁之底部部分上沈積材料之最大入射角θ2由下式界定:tan θ2=w/d,或θ2=arctan(w/d)
在此情況下,寬度(w)比深度(d)大得多,因此θ21(圖28b)。在一實施例中,θ1可為30°,而θ2可為50°。因此,可使用較寬的離子角分佈。
在所有情況下,最大所要離子角分佈與待沈積之三維特徵之縱橫比有關。較窄、較深的特徵需要較小範圍之角分佈,如圖29b所示,而較寬、較淺的特徵可利用較寬範圍之角分佈,如圖29c所示。
因此,所揭露之實施例可用以產生三維特徵之保形沈積。操縱電漿鞘以根據圖29b形成離子角分佈,其中θ是基於待於其上進行沈積之三維特徵之縱橫比而界定。如關於圖4至圖5所描述,可操縱傳入離子之入射角以形成+/- θ之角分佈,其以約0°為中心。在一些實施例中,θ是基於arctangent(w/d),其中w為特徵寬度,且d被界定為特徵深度。此舉是藉由改變間隙之大小以及其與工件之垂直間距而完成,如圖7至圖10所示。
在一些實施例中,可能期望在三維結構中沈積材料,而在沈積之下留下氣隙。圖31a繪示三維特徵1900,其具有側壁1902、1904以及底面1905。材料1906以在所沈積之材料1906與底面1905之間留下氣隙1908的方式沈積。
可藉由適當地修改離子角分佈來形成此組態。舉例而言,基於上面的描述,顯然傳入之離子無法具有垂直於工 件的入射角,因此將在所有水平表面上沈積材料,包含底面1905。此外,具有低入射角之離子能夠到達底面1905及側壁1902、1904之下部部分。因此,為達成所要圖案,需要不同的角分佈,如圖31b所示。所述角分佈為雙峰的,以約-θ°及θ°為中心。如前,較佳角分佈與特徵1900之縱橫比有關。在此情況下,假定特徵之深度為d,且其寬度為w。進一步假定所要氣隙1908之高度為h。入射角不得小於由下式界定之入射角:tan(θ3)=w/(d-h),或θ3=arctan(w/(d-h))。
圖31b繪示雙峰式角分佈,其將達成圖31a所示之圖案。以圖22所示之組態安置的絕緣體可用以產生此雙峰式角分佈。
如上文所述,可操縱電漿鞘以改變其形狀。雖然以上揭露內容建議使用基於特定鞘形狀而時間不變的角分佈,但不以此方式限制本揭露案。舉例而言,隨著材料在兩個側壁上沈積,特徵之寬度以高於深度的速率減小。因此,特徵之縱橫比增加。因此,為抵消此作用,可基於增加之縱橫比,隨時間過去而操縱鞘以減小角分佈。
因此,為保形地沈積三維特徵,可如上文所述基於初始縱橫比來使用離子角分佈。隨著材料沈積於側壁及底面上,縱橫比必然增加。此情形要求角分佈對應地減小。重複此過程,直至所要量之材料已沈積於側壁及底面上為止。如上文所述,藉由改變絕緣體212、214之間隔(見圖8)或絕緣體212、214與工件138之間的距離(見圖10) 來調整角分佈。此調整可基於沈積速率連續地進行,或可在需要時以不連續步驟進行。在一些實施例中,可在製程期間修改電漿參數。在其他實施例中,可在製程期間修改植入能量。
在另一實例中,考慮圖31a所示之特徵。如上文所述,使用雙峰式離子角分佈來形成在底面上方間隔開之材料層。雙峰式分佈之中心角與特徵之縱橫比及氣隙之所要高度有關。一旦所述層形成,便可修改角分佈。舉例而言,可減小兩個雙峰中心角,以在先前沈積之材料上沈積更多材料。此製程可繼續,直至中心角達到0°為止。此舉允許特徵如圖31a所示般填充。在另一實施例中,在材料層已被沈積且氣隙1908形成之後,可使用0°之傳統入射角來使更多材料成層至先前沈積之層上。
此外,此使用變化的入射角之方法無需僅用於保形沈積。此製程可經修改以便在需要時形成不均勻的離子流(ion flux)。另外,基於經修改之鞘形狀之沈積的使用可與其他步驟組合。舉例而言,傳統(正交)沈積可在上文所述之保形沈積製程之前或之後。舉例而言,圖31b所示之離子角分佈可用以在溝槽中形成氣隙1908。接著可隨後使用傳統的正交沈積來增加塗層之厚度。或者,可首先應用傳統PECVD,接著是指向性(directional)沈積。
此沈積方法可應用於多種膜及沈積前驅體(precursor)。舉例而言,諸如(但不限於)有機矽前驅體(甲基矽烷(methysilane)、六甲基二矽氧烷 (hexamethyldisiloxane,HMDSO)、八甲基四矽氧烷(octamethyltetrasiloxane,OMCTS)以及四甲基四矽氧烷(tetramethyltetrasiloxane,TMCTS))等材料可用於SiCOH之沈積,以用於孔密封應用及氣隙之形成。SiH4可用於SiO2及SiN襯裏(line)及間隔物之沈積。有機金屬前驅體可用於在通孔及襯裏中沈積襯料及擴散障壁。最終,SiH4/B2H6/PH3/AsH3混合物可用於三維沈積。
除沈積以外,對電漿鞘之操縱亦可用於蝕刻製程。在電漿蝕刻期間,離子及中性粒子產生,且經控制以影響正蝕刻之三維特徵的側壁剖面。在一些實施例中,需要直的(亦即,垂直)側壁。此舉是使用非等向性蝕刻(anisotropic etch)來執行,其中離子被正交地引導至工件之表面。使用此類型之蝕刻的實例包含閘極堆疊、BEOL鑲嵌以及FinFET。圖32a繪示由正交引導之離子2010蝕刻的特徵2002。基板2004之將不被蝕刻的部分由遮罩(mask)2000保護。由於所有離子均與基板2004之表面正交,因此經蝕刻之特徵2002具有垂直側壁。圖29a繪示形成此特徵所必要之離子角分佈。
在其他實施例中,等向性蝕刻是合意的,且通常使用濕式化學(wet chemistry)(例如,在磊晶沈積(epi deposition)之前的S/D蝕刻,用於經改良之重疊)來完成。在某些實施例中,合意的是具有溝槽或其他三維特徵,其中側壁不垂直而是錐形的。圖32b繪示此特徵,其中側壁稍微成錐形。注意,增加之離子分佈允許在水平方向上在 遮罩下方蝕刻側壁。
在一實施例中,具有較寬角展(諸如大於40°,如圖29c所示)之離子衝擊於工件2024上。此舉在未由遮罩2020保護之所有區域中蝕刻工件2024。由於使用較寬角展,因此具有最大入射角之離子2030能夠蝕刻定位於遮罩2020下方的材料2026。基板之較小的楔狀物2028保留在遮罩下方,因為其由遮罩2020保護。藉由增加離子角展(亦即,允許較大的最大入射角),在遮罩下方之經蝕刻的材料2026的量可增加,進而增加錐形之程度。隨著材料被蝕刻掉,角分佈減小,使得最大入射角減小。圖29b繪示實例角展。角展之減小趨於將蝕刻集中於較窄區域。隨著過程繼續,角展可連續減小,直至其變為諸如圖29a中之傳統角展為止。角展隨時間變化的速率決定側壁形狀。錐度2031部分基於初始角展及角展減小的速率。角展之緩慢減小產生具有輕微錐形2031之特徵。角展之較快減小增加了特徵之錐度。
如所闡釋,圖32b所示之錐形作用可使用此方法來形成。諸如圖32c及圖32d所示之形狀的額外形狀亦可藉由使用以約0°為中心之角分佈且隨時間而改變角展來形成。為形成圖32b所示之形狀,製程藉由使用較寬角度而開始。此角度蝕刻側壁頂部附近之材料。隨著溝槽加深,角展減小,其致使所得側壁變為錐形。圖32c使用與用以形成圖32b之特徵之剖面類似的剖面,然而與用以形成圖32b所示之剖面時此離子束之持續時間相比,具有0°之入 射角的離子束(見圖29a)的持續時間減小。此外,開始角分佈大於用以形成圖32b所示之形狀的角分佈。使用諸如大於40°之較寬角展來產生圖32d之特徵,如圖29c所示,隨時間過去,角展極少或無減小。
圖33a繪示三維特徵2100,其中側壁2102之錐度大於90度。將90度界定為垂直於工件之表面。大於90度之錐度意謂特徵之寬度隨深度增加而增加。為以此方式蝕刻特徵2100,可使用如圖31b所示之離子角分佈。入射離子之雙峰性質形成兩個經蝕刻之區域2104、2106,其每一者大致平行於入射中心角中之一者。在圖33a中,入射角足夠大,使得特徵2100之底面之一部分2110未完全被蝕刻。此剩餘部分2110之大小隨入射角、遮罩2112中之開口的寬度以及特徵2100之深度而變。
舉例而言,將經蝕刻之區域的最內邊緣界定為基板之第一部分,其具有至離子束之視線(line-of-sight)。將這些位置命名為Φ1及Φ2。Φ1自遮罩之右角偏移等於d×tan(Θ)的距離,其中d為特徵2100之深度,且Θ為入射角。若遮罩2112中之開口由w給定,則在d×tan(Θ)大w/2之情況下,未經蝕刻之部分2110將存在。
為消除此未經蝕刻之部分2110,可在雙峰式離子角分佈完成之後,使用以0°為中心之第二角分佈或傳統正交分佈(見圖29a)。此特徵可見於圖33b。
亦可藉由執行過蝕刻(over-etch)步驟來達成上述情形,其中在兩個層之間使用蝕刻障壁。在蝕刻製程中,材 料之間存在不同的選擇性(selectivity),使得某種材料將不用所使用之電漿蝕刻。因此,蝕刻製程接著將在此層停止。
可藉由組合圖31b所示之雙峰式離子分佈與以約0°為中心之角分佈(諸如圖29a、圖29b及圖29c所示之彼等角分佈)來形成其他特徵形狀。圖33c及圖33d繪示兩個特徵形狀,其可藉由隨時間修改角分佈而形成,使得其可利用以0°為中心之角分佈及雙峰式角分佈兩者。舉例而言,可藉由以不具有角展之蝕刻開始(見圖29a)來形成圖33c中之形狀。此情形形成垂直溝槽,如此項技術中已知。稍後,使用較寬角展,其蝕刻材料,以便形成所要形狀。可使用等向性蝕刻來形成圖33d所示之形狀。
術語「入射角(angle of incidence)」與「入射角(incident angle)」在整個本揭露案中可互換使用,且具有相同意義。具體而言,入射角為離子撞擊工件之角度。將入射角量測為自垂直於由工件之前表面界定之平面151之線的偏轉。換言之,垂直撞擊工件之離子具有0°之入射角。
因此,提供對工件上之特徵執行電漿處理的方法。在某些實施例中,電漿鞘之形狀被修改,且接著來自電漿之材料沈積於特徵上。在另一實施例中,對電漿鞘之修改與特徵之縱橫比相關而變化。在其他實施例中,當材料沈積於特徵上,對電漿鞘之修改亦隨著時間變化。對電漿鞘之修改亦可用以對工件執行蝕刻。可執行對電漿鞘之各種修改,以改變經蝕刻之特徵的形狀及剖面。
本揭露案之範疇不受本文所描述之具體實施例限制。事實上,除本文所述內容以外,熟習此項技術者自前面的描述及附圖將明白本揭露案之其他各種實施例及修改。因此,此些其他實施例及修改既定屬於本揭露案之範疇內。此外,儘管已在用於特定目的之特定環境中之特定實施方案的上下文中描述了本揭露案,但熟習此項技術者將認識到,本揭露案之有用性不限於此,且本揭露案可有益地為任意數目之目的而在任意數目之環境中實施。因此,應鑒於如本文所述之本揭露案之完整寬度及精神來解釋下文所陳述之申請專利範圍。
102、2010、2030‧‧‧離子
134‧‧‧壓板
138、2024‧‧‧工件
140‧‧‧電漿
141、241、841、843、863、963、1041、1043‧‧‧邊界
142、242‧‧‧電漿鞘
144、244‧‧‧溝槽
147、247‧‧‧側壁
151、257‧‧‧平面
200、1100、1600‧‧‧電漿處理裝置
202‧‧‧處理腔室
206、301‧‧‧源
208、248‧‧‧絕緣修改器
212、214、252、254、1302-1~1302-n、1400、1402、1404、1500、1502、1702-1~1702-n‧‧‧絕緣體
269、270、271‧‧‧軌跡路徑
288、290、304‧‧‧氣體源
300‧‧‧電漿摻雜裝置
303‧‧‧封閉體
306‧‧‧質量流量控制器
308‧‧‧壓力計
310‧‧‧排氣口
312‧‧‧真空泵
314‧‧‧排氣閥
316‧‧‧氣體壓力控制器
318‧‧‧腔室頂部
320‧‧‧第一區段
322‧‧‧第二區段
324‧‧‧蓋
326‧‧‧平面天線
346‧‧‧螺旋天線
350‧‧‧RF源
352‧‧‧阻抗匹配網路
356‧‧‧控制器
358‧‧‧使用者介面系統
370‧‧‧氣體隔板
390‧‧‧偏壓電源
394‧‧‧屏蔽環
399‧‧‧法拉第杯
602、603‧‧‧曲線
702、703a、703b、902、912、1104、1604‧‧‧致動器
720、722、730、732、906、916、1124、1624‧‧‧箭頭
820‧‧‧Z間隙位置
840‧‧‧Z間隙位置
1020‧‧‧水平間隙位置
1040‧‧‧水平間隙位置
1102、1602‧‧‧掃描系統
1112、1114‧‧‧正方形絕緣薄片
1200‧‧‧雙峰式角展
1303-1~1303-n、1703-1~1703-n、Gc、Gd‧‧‧間隙
1612、1614‧‧‧絕緣薄片
1720、1740‧‧‧左側壁
1722、1742‧‧‧右側壁
1724、1744、1905‧‧‧底面
1726、1746‧‧‧層
1728‧‧‧基板表面
1730、1732、1750、1752、1754‧‧‧軌跡
1734‧‧‧軌跡
1900、2100‧‧‧三維特徵
1902、1904、2102‧‧‧側壁
1906、2026‧‧‧材料
1908‧‧‧氣隙
2000‧‧‧遮罩
2002‧‧‧特徵
2004‧‧‧基板
2020、2112‧‧‧遮罩
2028‧‧‧楔狀物
2031‧‧‧錐形
2104、2106‧‧‧區域
2110‧‧‧部分
G、G5、G6、G8、G9‧‧‧水平間距
G1‧‧‧總水平間距
G2、G4‧‧‧周邊水平間距
G3‧‧‧中心水平間距
G7‧‧‧空間
Ga‧‧‧第一水平距離
Gb‧‧‧第二水平距離
Ge‧‧‧間隙寬度
Z‧‧‧垂直間距
Z1、Z2、Z2a、Z2b‧‧‧Z間隙距離
α1、α2‧‧‧角展
Ψ‧‧‧間隙角度
δ‧‧‧間隙寬度
Φ‧‧‧倒置「V」之斜率
圖1為與先前技術一致之習知電漿處理裝置的簡化方塊圖。
圖2為與本揭露案之實施例一致之電漿處理裝置的方塊圖。
圖3為與本揭露案之實施例一致之電漿摻雜裝置的方塊圖。
圖4為用以控制電漿與電漿鞘之間的邊界之形狀的一對絕緣體的橫截面圖。
圖5為與圖4一致之橫截面圖,其說明越過圖4之邊界而加速之離子的離子軌跡。
圖6為圖5之離子軌跡的角離子分佈的曲線圖。
圖7為用以控制一對絕緣體與工件之間的垂直間距的系統的方塊圖。
圖8為與圖7一致之橫截面圖,其說明不同垂直間距處的離子軌跡橫截面圖。
圖9為用以控制一對絕緣體之間的水平間距之系統的方塊圖。
圖10為與圖9一致之橫截面圖,其說明不同水平間距處的離子軌跡。
圖11為具有用以使一對絕緣薄片相對於工件而移動之掃描系統的電漿處理裝置的方塊圖。
圖12為圖11之絕緣薄片之平面圖,其繪示絕緣薄片與圓盤形工件之間的相對移動。
圖13為與圖11一致之具有多個絕緣體之掃描系統的方塊圖。
圖14為與本揭露案之第二實施例一致之電漿處理裝置的方塊圖。
圖15為用以控制電漿與電漿鞘之間的邊界之形狀之兩個絕緣體的橫截面圖。
圖16為圖15之離子軌跡之角離子分佈的曲線圖。
圖17為用以控制一組絕緣體與工件之間的垂直間距的系統的方塊圖。
圖18為與圖17一致之橫截面圖,其說明第一垂直間距處的離子軌跡。
圖19為與圖17一致之橫截面圖,其說明第二垂直間距處的離子軌跡。
圖20為用以控制絕緣體之間的水平間距的系統的方 塊圖。
圖21為與圖20一致之橫截面圖,其說明負水平間距處的離子軌跡。
圖22為用以控制電漿與電漿鞘之間的邊界之形狀的三個絕緣體的橫截面圖。
圖23為圖22之離子軌跡之角離子分佈的曲線圖。
圖24a至圖24c為絕緣修改器之額外實施例的橫截面圖。
圖25為具有用以使絕緣薄片相對於工件而移動之掃描系統的電漿處理裝置的方塊圖。
圖26為圖25之絕緣薄片之平面圖,其繪示絕緣薄片與圓盤形工件之間的相對移動。
圖27為與圖25一致之具有多個絕緣體之掃描系統的方塊圖。
圖28a說明自電漿至工件之傳統離子路徑。
圖28b說明窄三維特徵之保形沈積。
圖28c說明寬、淺三維特徵之保形沈積。
圖29a繪示與圖28a相關聯之離子角分佈。
圖29b繪示與圖28b相關聯之離子角分佈。
圖29c繪示與圖28c相關聯之離子角分佈。
圖30a至圖30f繪示各種入射角對沈積之影響。
圖31a說明在三維特徵中具有氣隙的材料的沈積。
圖31b繪示與圖30a相關聯之離子角分佈。
圖32a說明具有垂直側壁之三維特徵的蝕刻。
圖32b說明具有向內成錐形之側壁的三維特徵的蝕刻。
圖32c說明可使用圖29b之離子角分佈形成之特徵形狀。
圖32d說明可使用圖29c之離子角分佈形成之特徵形狀。
圖33a說明具有向外成錐形之側壁的三維特徵的蝕刻。
圖33b說明可使用圖30b之離子角分佈形成之特徵形狀。
圖33c說明可使用圖30b之離子角分佈形成之特徵形狀。
圖33d說明可使用圖30b之離子角分佈形成之特徵形狀。
102‧‧‧離子
134‧‧‧壓板
138‧‧‧工件
140‧‧‧電漿
151‧‧‧平面
200‧‧‧電漿處理裝置
202‧‧‧處理腔室
206‧‧‧源
208‧‧‧絕緣修改器
212、214‧‧‧絕緣體
241‧‧‧邊界
242‧‧‧電漿鞘
244‧‧‧溝槽
247‧‧‧側壁
269、270、271‧‧‧軌跡路徑
288‧‧‧氣體源
290‧‧‧偏壓源
G‧‧‧水平間距
Z‧‧‧垂直間距

Claims (22)

  1. 一種保形沈積之方法,包括:將工件定位在處理腔室中;在所述處理腔室中產生電漿,所述電漿具有鄰近於所述工件之前表面的電漿鞘;以及修改所述電漿與所述電漿鞘之間的邊界之形狀,以改變撞擊所述工件之所述前表面之三維特徵的材料的入射角的範圍,同時使所述材料越過所述邊界自所述電漿加速,以在所述工件之所述前表面之所述三維特徵的表面上形成經沈積之層,其中基於所述三維特徵的深度與寬度來修改所述邊界之所述形狀。
  2. 如申請專利範圍第1項所述之保形沈積之方法,其中所述入射角的範圍取決於所述電漿與所述電漿鞘之間的所述邊界之所述形狀。
  3. 如申請專利範圍第2項所述之保形沈積之方法,其中在所述三維特徵上的所述層之沈積期間,多次修改所述電漿與所述電漿鞘之間的所述邊界之所述形狀。
  4. 如申請專利範圍第2項所述之保形沈積之方法,其中藉由在所述電漿與所述工件之間放置絕緣修改器,來修改所述電漿與所述電漿鞘之間的所述邊界之所述形狀,所述絕緣修改器中包括間隙。
  5. 如申請專利範圍第4項所述之保形沈積之方法,其中藉由改變所述間隙之寬度來修改所述入射角的範圍。
  6. 如申請專利範圍第4項所述之保形沈積之方法,其 中藉由改變所述絕緣修改器與所述工件之間的垂直距離來修改所述入射角的範圍。
  7. 如申請專利範圍第4項所述之保形沈積之方法,其中所述絕緣修改器包括第一絕緣體及第二絕緣體。
  8. 如申請專利範圍第7項所述之保形沈積之方法,其中藉由改變所述第一絕緣體與所述第二絕緣體之間的垂直距離來修改所述入射角的範圍。
  9. 如申請專利範圍第1項所述之保形沈積之方法,其中所述入射角的範圍包括中心角及圍繞所述中心角之分佈,且其中所述中心角垂直於所述工件,且所述分佈是基於所述三維特徵之縱橫比,所述縱橫比被界定為所述三維特徵之深度除以所述三維特徵之寬度。
  10. 如申請專利範圍第1項所述之保形沈積之方法,其中在經沈積之所述層與所述三維特徵之底面之間維持氣隙。
  11. 如申請專利範圍第10項所述之保形沈積之方法,其中所述入射角的範圍包括中心角及圍繞所述中心角之分佈,其中所述中心角不垂直於所述工件。
  12. 如申請專利範圍第8項所述之保形沈積之方法,其中所述入射角的範圍為雙峰式分佈,所述雙峰式分佈具有兩個中心角與圍繞每一中心角之分佈。
  13. 如申請專利範圍第2項所述之保形沈積之方法,包括:基於所述三維特徵之第一縱橫比選擇第一中心角及 分佈,所述第一縱橫比被界定為所述三維特徵之第一深度除以所述三維特徵之第一寬度;使用所述第一中心角及分佈朝所述三維特徵引導所述材料,藉此所述材料沈積於所述三維特徵上,進而使所述第一縱橫比改變為第二縱橫比;基於所述第二縱橫比選擇第二中心角及分佈;以及使用所述第二中心角及分佈朝所述三維特徵引導所述材料,藉此所述材料沈積於所述三維特徵上。
  14. 一種在工件中蝕刻三維特徵的方法,所述三維特徵具有所要的寬度、深度及錐度,所述在工件中蝕刻三維特徵的方法包括:將工件定位在處理腔室中;在所述處理腔室中產生電漿,所述電漿具有鄰近於所述工件之前表面的電漿鞘;以及修改所述電漿與所述電漿鞘之間的邊界之形狀,同時使材料越過所述邊界自所述電漿加速,以在所述工件之所述前表面上蝕刻所述三維特徵,其中所述材料以一入射角的範圍撞擊所述三維特徵,且其中所述入射角的範圍取決於所述電漿與所述電漿鞘之間的所述邊界之所述形狀。
  15. 如申請專利範圍第14項所述之在工件中蝕刻三維特徵的方法,其中所述入射角的範圍包含中心角及圍繞所述中心角之分佈。
  16. 如申請專利範圍第15項所述之在工件中蝕刻三維特徵的方法,其中所述三維特徵向內成錐形,使得在所 述工件之所述前表面處的所要的所述寬度大於在所述三維特徵之底部處的所要的所述寬度,且所述中心角垂直於由所述工件之所述前表面定義的平面,且所述分佈大於零度。
  17. 如申請專利範圍第15項所述之在工件中蝕刻三維特徵的方法,其中所述三維特徵向外成錐形,使得在所述工件之所述前表面處的所要的所述寬度小於在所述三維特徵之底部處的所要的所述寬度,且所述入射角的範圍包括兩個中心角,每一所述中心角具有一分佈。
  18. 如申請專利範圍第15項所述之在工件中蝕刻三維特徵的方法,其中對所述邊界之修改包括:基於所要的所述錐度選擇第一中心角及第一分佈;以及使用所述第一中心角及所述第一分佈朝所述三維特徵引導所述材料,藉此所述材料蝕刻所述工件至第一深度。
  19. 如申請專利範圍第18項所述之在工件中蝕刻三維特徵的方法,更包括:基於所要的所述錐度及所述第一深度選擇第二中心角及第二分佈;以及使用所述第二中心角及所述第二分佈朝所述三維特徵引導所述材料,藉此所述材料蝕刻所述工件至第二深度。
  20. 如申請專利範圍第19項所述之在工件中蝕刻三維特徵的方法,更包括多次重複選擇及引導的步驟,直至已蝕刻所要深度為止。
  21. 如申請專利範圍第20項所述之在工件中蝕刻三 維特徵的方法,其中所述分佈隨時間過去而減小。
  22. 如申請專利範圍第20項所述之在工件中蝕刻三維特徵的方法,其中所述入射角隨時間過去而減小。
TW099110130A 2009-04-03 2010-04-01 保形沈積的方法以及在工件中蝕刻三維特徵的方法 TWI520660B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/417,929 US7767977B1 (en) 2009-04-03 2009-04-03 Ion source
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus
US12/644,103 US8101510B2 (en) 2009-04-03 2009-12-22 Plasma processing apparatus
US12/645,638 US8603591B2 (en) 2009-04-03 2009-12-23 Enhanced etch and deposition profile control using plasma sheath engineering

Publications (2)

Publication Number Publication Date
TW201044921A TW201044921A (en) 2010-12-16
TWI520660B true TWI520660B (zh) 2016-02-01

Family

ID=45001495

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099110130A TWI520660B (zh) 2009-04-03 2010-04-01 保形沈積的方法以及在工件中蝕刻三維特徵的方法

Country Status (1)

Country Link
TW (1) TWI520660B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US12029133B2 (en) 2019-02-28 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning

Also Published As

Publication number Publication date
TW201044921A (en) 2010-12-16

Similar Documents

Publication Publication Date Title
JP5594547B2 (ja) 堆積する方法、エッチングする方法、および、フィーチャの製造方法
TWI463034B (zh) 電漿處理裝置
CN102422722B (zh) 等离子体处理装置与方法
TWI697047B (zh) 處理基板的裝置與系統及蝕刻基板的方法
US7767561B2 (en) Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) Plasma immersion ion implantation reactor having multiple ion shower grids
JP6388580B2 (ja) プラズマ処理装置及びスパッタリングシステム
TWI520660B (zh) 保形沈積的方法以及在工件中蝕刻三維特徵的方法
US10388528B2 (en) Non-ambipolar electric pressure plasma uniformity control
US9514918B2 (en) Guard aperture to control ion angular distribution in plasma processing
TWI752698B (zh) 帶狀射束電漿增強化學氣相沉積系統及操作其的方法
US20200090909A1 (en) Filling a cavity in a substrate using sputtering and deposition
TW201114332A (en) Plasma processing apparatus