TWI463034B - 電漿處理裝置 - Google Patents

電漿處理裝置 Download PDF

Info

Publication number
TWI463034B
TWI463034B TW099109628A TW99109628A TWI463034B TW I463034 B TWI463034 B TW I463034B TW 099109628 A TW099109628 A TW 099109628A TW 99109628 A TW99109628 A TW 99109628A TW I463034 B TWI463034 B TW I463034B
Authority
TW
Taiwan
Prior art keywords
insulator
workpiece
plasma
gap
angle
Prior art date
Application number
TW099109628A
Other languages
English (en)
Other versions
TW201116646A (en
Inventor
Ludovic Godet
Timothy J Miller
Svetlana Radovanov
Anthony Renau
Vikram Singh
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/418,120 external-priority patent/US8623171B2/en
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW201116646A publication Critical patent/TW201116646A/zh
Application granted granted Critical
Publication of TWI463034B publication Critical patent/TWI463034B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Combustion & Propulsion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理裝置 【相關申請案的交叉參考】
本申請案為2009年4月3日申請之美國申請案第12/418,120號的部分延續案(continuation in part,CIP),所述美國申請案以引用之方式併入本文中。
本申請案亦與2009年4月3日申請之美國申請案第12/417,929號有關,所述美國申請案以引用之方式併入本文中。
本揭露案是有關於電漿處理(plasma processing),且更明確而言,是有關於電漿處理裝置(plasma processing apparatus)。
電漿處理裝置在處理腔室(process chamber)中產生電漿(plasma),以用於處理由處理腔室中之壓板(platen)支撐的工件(workpiece)。電漿處理裝置可包含(但不限於)摻雜系統(doping system)、蝕刻系統(etching system)以及沈積系統(deposition system)。電漿通常為離子(ion)(通常具有正電荷)與電子(具有負電荷)的準中性集合(quasi-neutral collection)。電漿在電漿之主體(bulk)中具有每公分約0伏特的電場(electric field)。在一些電漿處理裝置中,來自電漿之離子被朝工件吸引。在電漿摻雜裝置(plasma doping apparatus)中,可用足夠的能量來吸引離子,以將其植入工件的實體結構(physical structure)(例 如在一個例子中為半導體基板(semiconductor substrate))中。
電漿由接近工件的通常被稱為電漿鞘(plasma sheath)的區域定界。電漿鞘是與電漿相比具有較少電子的區域。因為存在較少電子,來自此電漿鞘的光發射的強度小於電漿,且因此鮮有激發-馳豫碰撞(excitation-relaxation collision)發生。因此,電漿鞘有時被稱為「暗區(dark space)」。
轉向圖1,說明已知電漿處理裝置之多個部分的橫截面圖,其中電漿140具有電漿鞘142,其鄰近待處理之工件138的前表面。工件138之前表面界定平面151,且工件138由壓板134支撐。電漿140與電漿鞘142之間的邊界141平行於平面151。來自電漿140之離子102可越過電漿鞘142被朝工件138吸引。因此,朝工件138加速之離子102通常相對於平面151以0°的入射角(angle of incidence)(例如,垂直於平面151)撞擊工件138。入射角可能存在小於約3°的較小角展(angular spread)。另外,藉由控制電漿處理參數(plasma process parameter)(諸如處理腔室內的氣體壓力),可使所述角展增加至多達約5°。
習知電漿處理的缺點為缺乏對離子102之角展控制。隨著工件上之結構變小,且隨著三維結構變得更常見(例如溝槽式電容器(trench capacitor)、垂直通道電晶體(vertical channel transistor),諸如FinFET),具有較大的角度控制將是有益的。舉例而言,圖1中為說明的清楚起見 而展示具有誇大尺寸的溝槽(trench)144。在以約0°的入射角或甚至至多達5°的角展來引導離子102的情況下,可能難以均勻地處理溝槽144之側壁(sidewall)147。
因此,需要一種電漿處理裝置,其克服上述不足及缺點。
根據本發明之第一態樣,提供一種電漿處理裝置。所述電漿處理裝置包含:處理腔室;壓板,其定位於處理腔室中,用於支撐工件;源,其經組態以在處理腔室中產生電漿,所述電漿具有鄰近工件之前表面的電漿鞘;以及絕緣修改器(insulating modifier)。所述絕緣修改器具有間隙以及間隙平面(gap plane),其中所述間隙平面由絕緣修改器之最靠近鞘且接近間隙之部分界定。將間隙角度(gap angle)界定為間隙平面與由工件之面向電漿之前表面所界定之平面之間的角度。使用絕緣修改器形成之間隙角度是非零的。
根據本發明之另一態樣,提供一種方法。所述方法包含:將工件定位在處理腔室中;在處理腔室中產生電漿,所述電漿具有鄰近所述工件的前表面的電漿鞘;用絕緣修改器來修改電漿與電漿鞘之間的邊界的形狀;以及越過電漿鞘自電漿吸引離子。離子相對於由所述工件之前表面界定之工件平面之入射角範圍受電漿與電漿鞘之間的邊界的形狀影響。離子之此入射角範圍包含中心角(center angle)及角分佈(angular distribution),使得絕緣修改器之使用形 成不垂直於所述工件的中心角。
為了更好地理解本揭露案,參考附圖,其中相同元件以相同標號表示。
圖2為與本揭露案之實施例一致之具有絕緣修改器208之電漿處理裝置200的方塊圖。絕緣修改器208經組態以修改電漿鞘242內之電場,以控制電漿140與電漿鞘242之間的邊界241的形狀。因此,越過電漿鞘242自電漿140吸引之離子102可以一入射角範圍撞擊工件138。
本文可進一步將電漿處理裝置200描述為電漿摻雜裝置。然而,電漿處理裝置200亦可包含(但不限於)蝕刻及沈積系統。此外,電漿摻雜系統可對經處理之工件執行許多不同材料修改處理。一種此類處理包含用所要摻雜劑來摻雜工件(諸如半導體基板)。
電漿處理裝置200可包含處理腔室202、壓板134、源206以及絕緣修改器208。壓板134定位於處理腔室202中,用於支撐工件138。工件可包含(但不限於)半導體晶圓、平面面板、太陽電池板(solar panel)以及聚合物基板。在一實施例中,半導體晶圓可具有圓盤形狀,其具有300毫米(mm)之直徑。如此項技術中已知,源206經組態以在處理腔室202中產生電漿140。在圖2之實施例中,絕緣修改器208包含一對絕緣體212及214,其之間界定間隙,所述間隙具有水平間距(G)。在其他實施例中,絕緣修改器可僅包含一個絕緣體。此對絕緣體212及214可 為具有薄的平坦形狀之一對薄片。在其他實施例中,此對絕緣體212及214可為其他形狀,諸如管形、楔形,且/或具有接近所述間隙之傾斜邊緣。
在一實施例中,由此對絕緣體212及214界定之間隙的水平間距可為約6.0毫米(mm)。此對絕緣體212及214亦可定位在由工件138之前表面界定之平面151上方之垂直間距(vertical spacing)(Z)處。在一實施例中,所述垂直間距(Z)可為約3.0mm。
在操作中,氣體源(gas source)288將可離子化氣體(ionizable gas)供應至處理腔室202。可離子化氣體之實例包含(但不限於)BF3 、BI3 、N2 、Ar、PH3 、AsH3 、B2 H6 、H2 、Xe、Kr、Ne、He、SiH4 、SiF4 、GeH4 、GeF4 、CH4 、CF4 、AsF5 、PF3 及PF5 。源206可藉由激發及離子化提供至處理腔室202之氣體而產生電漿140。可藉由不同機制越過電漿鞘242自電漿140吸引離子。在圖2之實施例中,偏壓源290經組態以加偏壓於工件138,以越過電漿鞘242自電漿140吸引離子102。偏壓源290可為用以提供DC電壓偏壓信號之DC電源,或用以提供RF偏壓信號之RF電源。
有利的是,絕緣修改器208修改電漿鞘242內之電場,以控制電漿140與電漿鞘242之間的邊界241的形狀。在圖2之實施例中,絕緣修改器208包含一對絕緣體212及214。絕緣體212、214可由石英、氧化鋁、氮化硼、玻璃、氮化矽等製造。電漿140與電漿鞘242之間的邊界241 可相對於平面151具有凸形狀。當偏壓源290加偏壓於工件138時,離子102以較大的入射角範圍被越過電漿鞘242而吸引穿過絕緣體212與214之間的間隙。舉例而言,遵循軌跡路徑(trajectory path)271之離子可相對於平面151以+θ°的角度撞擊工件138。遵循軌跡路徑270之離子可相對於同一平面151以約0°的角度撞擊工件138。遵循軌跡路徑269之離子可相對於平面151以-θ°的角度撞擊工件138。因此,入射角之範圍可在以約0°為中心之+θ°與-θ°之間。另外,一些離子軌跡路徑(諸如軌跡路徑269及271)可彼此交叉。視若干因數(包含但不限於,絕緣體212與214之間的水平間距(G)、絕緣體在平面151上方之垂直間距(Z)、絕緣體212及214之介電常數(dielectric constant)以及其他電漿處理參數)而定,入射角(θ)之範圍可在以約0°為中心之+60°與-60°之間。因此,工件138上之較小的三維結構可由離子102均勻地處理。舉例而言,與圖1之情形相比,溝槽244之為說明之清楚起見而具有誇大尺寸之側壁247可由離子102更均勻地處理。
轉向圖3,說明一例示性電漿摻雜裝置300的方塊圖。與圖2之裝置一致,電漿摻雜裝置300具有一對絕緣體212及214,以控制電漿140與電漿鞘242之間的邊界241的形狀。
電漿摻雜裝置300包含處理腔室202,其界定封閉體(enclosed volume)303。氣體源304經由質量流量控制器(mass flow controller)306向處理腔室302之封閉體303 提供主要摻雜劑氣體(primary dopant gas)。氣體隔板(gas baffle)370可定位於處理腔室202中,以使來自氣體源304之氣體流偏轉。壓力計(pressure gauge)308量測處理腔室202內之壓力。真空泵(vacuum pump)312經由排氣口(exhaust port)310抽空來自處理腔室202之排氣。排氣閥(exhaust valve)314控制經由排氣口310之排氣傳導性(exhaust conductance)。
電漿摻雜裝置300可進一步包含氣體壓力控制器(gas pressure controller)316,其電連接至質量流量控制器306、壓力計308及排氣閥314。氣體壓力控制器316可經組態以藉由在回應於壓力計308之回饋環路中用排氣閥314控制排氣傳導性或用質量流量控制器306控制處理氣體流動速率,來維持處理腔室202中之所要壓力。
處理腔室202可具有腔室頂部(chamber top)318,其包含第一區段(first section)320,所述第一區段320由介電材料形成,且在大體水平方向上延伸。腔室頂部318亦包含第二區段(second section)322,其由介電材料形成,且在大體垂直方向上自第一區段320延伸一高度。腔室頂部318進一步包含蓋(lid)324,其由導電且導熱之材料形成,且在水平方向上延伸越過第二區段322。
電漿摻雜裝置進一步包含源301,其經組態以在處理腔室202內產生電漿140。源301可包含RF源350,諸如電源,用以將RF功率供應至平面天線(planar antenna)326及螺旋天線(helical antenna)346中之一者或兩者以 產生電漿140。RF源350可藉由阻抗匹配網路(impedance matching network)352耦接至平面天線326、螺旋天線346,阻抗匹配網路352使RF源350之輸出阻抗與平面天線326、螺旋天線346之阻抗匹配,以便使自RF源350轉移至平面天線326、螺旋天線346之功率增至最大。
電漿摻雜裝置亦可包含偏壓電源(bias power supply)390,其電耦接至壓板134。電漿摻雜系統可進一步包含控制器356及使用者介面系統(user interface system)358。控制器356可為或包含通用電腦(general-purpose computer)或通用電腦之網路,其可經程式化以執行所要之輸入/輸出功能。控制器356亦可包含通信設備、資料儲存設備及軟體。使用者介面系統358可包含諸如觸控式螢幕、鍵盤、使用者指點設備(user pointing device)、顯示器、印表機等設備,以允許使用者經由控制器356輸入命令及/或資料,且/或監視電漿摻雜裝置。屏蔽環(shield ring)394可安置於壓板134周圍,以改良工件138之邊緣附近之所植入離子分佈的均勻性。亦可將諸如法拉第杯(Faraday cup)399之一或多個法拉第感測器定位於屏蔽環394中,以感測離子束電流。
在操作中,氣體源304供應含有所要摻雜劑之主要摻雜劑氣體,以供植入工件138中。源301經組態以在處理腔室302內產生電漿140。源301可由控制器356控制。為了產生電漿140,RF源350使平面天線326、螺旋天線346中之至少一者中之RF電流諧振,以產生振盪磁場。所 述振盪磁場將RF電流感應至處理腔室202中。處理腔室202中之RF電流激發並離子化主要摻雜劑氣體,以產生電漿140。
偏壓電源390提供具有脈衝接通(ON)及斷開(OFF)週期的經脈衝之壓板信號,以加偏壓於壓板134,且因此加偏壓於工件138,以使來自電漿140之離子越過電漿鞘242朝工件138加速。離子102可為帶正電之離子,且因此經脈衝之壓板信號之脈衝接通週期可相對於處理腔室202為負電壓脈衝,以吸引帶正電之離子102。可選擇經脈衝之壓板信號之頻率及/或脈衝之工作週期,以提供所要之劑量率(dose rate)。可選擇經脈衝之壓板信號之振幅,以提供所要之能量。
有利的是,此對絕緣體212及214控制電漿140與電漿鞘242之間的邊界241的形狀,如先前相對於圖2詳述。因此,可以較大的入射角範圍越過電漿鞘242吸引離子102穿過絕緣體212與214之間的間隙,以用於摻雜工件138。
轉向圖4,說明此對絕緣體212及214以及工件138之局部橫截面圖,其繪示電漿鞘242中圍繞由絕緣體212及214界定之間隙的電場線。所述電場線以及電漿與電漿鞘242之間的所得弓形邊界241得自電腦模擬,其中工件138在-2,000伏特下偏壓,且絕緣體212及214由玻璃製造。如所說明,圍繞所述間隙之弓形邊界241可進一步具有相對於平面151之凸形狀。
圖5為與圖4一致的橫截面圖,其說明越過電漿鞘242 而加速穿過絕緣體212與214之間的間隙的模擬離子軌跡。在電漿摻雜裝置中,離子可因邊界241之形狀以及電漿鞘242內之電場線而在間隙間距之中心區域中植入工件138中。舉例而言,在絕緣體212與214之間的總水平間距(G1)中,離子圍繞中心水平間距(G3)撞擊工件138。在此實施例中,無離子圍繞接近絕緣體212及214之周邊水平間距(G2)及(G4)撞擊工件。
圖6是與圖5所說明之離子軌跡一致的撞擊工件138之離子的入射角分佈的曲線602。如圖所示,曲線602揭示入射角以約0°為中心,且在自約+60°至-60°之較大角範圍上改變。此較大入射角範圍達成三維結構之保形(conformal)摻雜。舉例而言,可用具有此較大入射角範圍的離子更均勻地摻雜溝槽結構之側壁。
轉向圖7,說明與本揭露案一致的另一實施例的方塊圖,其中可調整絕緣修改器與由工件138之前表面界定之平面151之間的垂直間距(Z)。絕緣修改器可為此對絕緣體212及214,如在其他實施例中詳述。致動器(actuator)702可機械耦接至此對絕緣體212及214,以在如由箭頭720、722所示之相對於平面151之垂直方向上驅動絕緣體。此對絕緣體212及214相對於平面151且亦相對於彼此的Z位置影響電漿與電漿鞘之間的邊界的形狀,且亦影響撞擊工件138之離子的軌跡。致動器702可由控制器(諸如控制器356)控制。
圖8為與圖7一致的橫截面圖,用以說明在所有其他 參數相等之情況下,在此對絕緣體212及214相對於平面151之不同Z位置處的離子軌跡。在第一相對較短之Z間隙位置820中,絕緣體212、214定位於平面151上方Z間隙距離(Z1)處。在相比而言較高之Z間隙位置840處,絕緣體212、214定位於平面151上方Z間隙距離(Z2)處,其中(Z2)>(Z1)。在Z間隙位置820中,電漿與電漿鞘之間的邊界841具有相對於平面151之凸形狀。邊界841亦具有近似接近圓之圓周的一部分之形狀的形狀,其中弓形形狀之頂點在絕緣體212之頂部表面上方一距離(Za)處。相反,Z間隙位置840中之邊界843具有較淺形狀,其中弓形形狀之頂點在絕緣體212之頂部表面上方一較短距離(Zb)處,或其中(Zb)<(Za)。與Z間隙距離(Z1)及(Z2)組合之邊界841、843之形狀以及電漿鞘中之電場線影響撞擊工件138之離子的角展。舉例而言,以相對較短之Z間隙位置820撞擊工件138的離子的角展大於以相對較長之Z間隙位置撞擊工件138的離子的角展。另外,與具有較高Z間隙位置之水平間距(G6)相比,離子撞擊工件138之具有較短Z間隙位置820的較寬水平間距(G5),其中(G6)<(G5)。儘管圖8中未說明,但每一絕緣體212及214之Z間隙位置亦可彼此不同,以進一步影響電漿與電漿鞘之間的邊界的形狀,且因此影響離子之角展。
轉向圖9,說明與本揭露案一致之另一實施例的方塊圖,其中可調整絕緣體212與214之間的水平間距(G)。 水平間距調整可代替或加上圖8及圖9早先詳述之垂直間距調整。致動器902可機械耦接至此對絕緣體212及214中之至少一者,以在由箭頭906所示之方向上,相對於彼此驅動絕緣體。致動器902可由控制器(諸如控制器356)控制。
圖10為與圖9一致的橫載面圖,用以說明在所有其他參數相等之情況下,在絕緣體212與214之間的不同水平間隙間距下的離子軌跡。在第一相對較短水平間隙位置1020中,絕緣體212、214定位為彼此相距第一水平距離(Ga)。在相比而言較長之水平間隙位置1040中,絕緣體212、214定位為彼此相距第二水平距離(Gb),其中(Gb)>(Ga)。在水平間隙位置1020中,電漿與電漿鞘之間的邊界1041具有相對於平面151之凸形狀。邊界1041亦具有近似接近圓之圓周的一部分之形狀的形狀。相反,水平間隙位置1040中之邊界1043具有相對於平面151之凸形狀,其中邊界1043之中心部分大約平行於平面151。因此,用相對於平面151具有約0°之入射角的離子撞擊工件138之較大對應中心部分。
圖11為具有用以相對於工件138驅動絕緣修改器208之掃描系統1102的電漿處理裝置1100的方塊圖。在圖11之實施例中,絕緣修改器208包含一對正方形絕緣薄片1112及1114,其為最佳(在圖12中可見)。掃描系統1102可包含致動器1104,其機械耦接至絕緣薄片1112及1114以驅動所述絕緣薄片1112及1114。致動器1104可由控制 器(諸如控制器356)控制。
圖12為正方形絕緣薄片1112及1114以及圓盤形工件138之平面圖,用以說明其間之相對移動的一實例。在圖12之實施例中,掃描系統1102可自位置A至位置B及位置C等驅動正方形絕緣薄片1112及1114,使得工件138之所有部分暴露於由此對正方形絕緣薄片1112及1114界定之間隙。若笛卡爾座標系統(Cartesian coordinate system)如圖12中詳述般界定,則在圖12之X方向上驅動絕緣薄片1112及1114。在其他實施例中,可在Y方向上或以X與Y方向之間的任何角度驅動絕緣薄片1112及1114或另一組不同的絕緣薄片。另外,工件138可隨著掃描系統1102在一個方向上驅動絕緣薄片1112及1114而旋轉。工件138亦可在掃描系統1102在一個方向上驅動絕緣薄片之後旋轉一預定旋轉角度。在一實例中,所述旋轉可圍繞工件之中心軸,如由箭頭1124所說明。
轉向圖13,說明與圖11一致之掃描系統1102。與圖11相比,圖13之掃描系統1102包含多個絕緣體1302-1、1302-2、1302-3、…、1302-(n-1)以及1302-n,在其之間界定多個間隙1303-1、1303-2、…、1303-n。掃描系統可相對於工件138驅動所述多個絕緣體1302-1、1302-2、1302-3、…、1302-(n-1)以及1302-n,因此所述多個間隙1303-1、1303-2、…、1303-n經過工件138。
圖14繪示圖2之電漿處理裝置的第二實施例。如上文所述,電漿處理裝置200可包含處理腔室202、壓板134、 源206以及絕緣修改器248。在圖14之實施例中,絕緣修改器248包含絕緣體252及254,在其之間界定具有水平間距(G)的間隙。在其他實施例中,絕緣修改器248可僅包含一個絕緣體。在一個實施例中,由絕緣體252及254界定之間隙的水平間距可在約1毫米(mm)與60mm之間,視鞘厚度及所要之角分佈而定。
絕緣體252及254亦可定位於由工件138之前表面界定之平面151上方之Z間隙距離(Z1、Z2)處。在一實施例中,較靠近之Z間隙距離(Z1)可在約1mm與10mm之間。在一些實施例中,絕緣體之間的高度差異(亦即,Z2-Z1)可在約0mm與40mm之間,視鞘厚度及所要之角分佈而定。雖然圖14在大於絕緣體254之垂直高度處繪示絕緣體252,但若需要,絕緣體254可具有大於絕緣體252之垂直高度。
兩個絕緣體之間的垂直高度的差異形成相對於平面151之間隙角度。藉由形成平面257來量測間隙角度,平面257穿過絕緣體252的最靠近鞘且接近間隙的邊緣以及絕緣體254的最靠近鞘且接近間隙的邊緣。平面257與平面151之間的角度界定間隙角度(Ψ)。在一些實施例中,沿平面257,而非沿水平方向,量測間隙寬度(gap width)(δ )。間隙寬度(δ )根據以下等式與水平間距(horizontal spacing)(G)有關:δ =G/cos(Ψ),其中Ψ為間隙角度。間隙寬度(δ )可在0mm與40mm 之間。在一些實施例中,水平間距可為0,或甚至為負(其在絕緣體彼此重疊時達成)。與0mm或負水平間距結合之Z2-Z1之較大差異可用於形成非常大的中心角,諸如大於80°。
如下文將更詳細地描述,所揭露之裝置可用於形成離子之角分佈。這些角分佈(諸如圖16及圖23中所示之角分佈)可由兩個參數表徵。第一參數為中心角,其為形成角分佈之中心的角度。將中心角界定為自與平面151之正交的角偏差。換言之,垂直於平面151撞擊之離子被稱為具有0°之中心角。隨著入射角變得更平行於平面151,其值增加。
在圖16中,中心角對應於約45°。在圖23中,存在兩個中心角,在-45°及+45°處。所關注的第二參數為角展,或角範圍。此為離子圍繞中心角之分佈。換言之,所有離子並非以同一角度撞擊工件。相反,離子以具有圍繞中心角之角分佈的情形到達。在圖16中,角度之分佈大約自35°至55°;進而具有約20°之角展(或範圍)。類似地,圖23之角展(或角分佈)為約20°。
間隙角度(Ψ)有助於界定中心角。為形成不垂直於工件平面151的中心角(亦即,非零中心角),間隙角度(Ψ)可為非零。換言之,非零間隙角度(Ψ)暗示間隙平面257不平行於工件平面151。藉由具有非零間隙角度(Ψ),中心角改變,以便不垂直工件平面151。較大的間隙角度(亦即,大於30°)通常形成較大的中心角偏差(亦即,大於 30°)。較小的間隙角度(亦即,當間隙平面257與工件平面151幾乎平行時)產生較小的中心角(亦即,小於10°)。
電漿140與電漿鞘242之間的邊界241可相對於平面151具有不規則形狀。當偏壓源290加偏壓於工件138時,離子102以較大的中心角範圍越過電漿鞘242被吸引穿過絕緣體252與254之間的間隙。舉例而言,離子可相對於平面151以+θ°的非零中心角撞擊工件138。若絕緣體之垂直間距反轉,則離子可相對於平面151以-θ°的非零中心角撞擊工件138。因此,入射角之範圍可以約θ°為中心,其中θ在-80°與80°之間。視若干因數(包含但不限於絕緣體252與254之間的水平間距(G)、絕緣體在平面151上方之Z間隙距離(Z1、Z2)、間隙寬度(δ )、間隙角度(Ψ)、垂直間距差異(Z2-Z1)、絕緣體252及254之介電常數、絕緣體252及254之介電厚度以及其他電漿處理參數)而定,可修改入射角(θ)之範圍及中心。舉例而言,角分佈可在+5度與-5度之間,而中心角可在-80°與+80°之間。在其他實施例中,角分佈可更大(或更小)。類似地,可修改中心角以達成其他值。因此,工件138上之較小三維結構可由離子102均勻地處理。
圖15為橫截面圖,其說明越過電漿鞘242而加速穿過絕緣體252與254之間的間隙的模擬離子軌跡。在電漿摻雜裝置中,離子可因邊界241之形狀以及電漿鞘242內之電場線而在間隙間距之中心區域中植入工件138中。舉例而言,歸因於兩個絕緣體252、254之間的垂直間距差 異,離子在空間(G7)中以非零角度撞擊工件。另外,在此實施例中,少數離子在空間G7外撞擊接近絕緣體之工件。
圖16是與圖15所說明之離子軌跡一致的撞擊工件138之離子的入射角分佈的曲線603。如圖所示,曲線603揭示入射角以約45度之非零中心角為中心,圍繞此中心角具有約20度的角分佈。在其他實施例中,中心角可在-80度與+80度之間變化,且圍繞中心角之角分佈可自約+20度至-20度變化。此入射角範圍達成三維結構之保形摻雜。
藉由改變間隙寬度(δ)、絕緣體之間的間距(Z2-Z1)以及絕緣體相對於工件之位置(Z1),中心角及角分佈可經修改,以達成較寬的數值範圍,包含(但不限於)具有較小角分佈(亦即,小於5°)之較大中心角(亦即,大於60°)、具有較大角分佈(亦即,大於10°)之較大中心角(亦即,大於60°)、具有較大角分佈(亦即,大於10°)之較小中心角(亦即,小於40°)以及具有較小角分佈(小於5°)之較小中心角(小於40°)。
轉向圖17,說明與本揭露案一致的另一實施例的方塊圖,其中可調整絕緣修改器與由工件138之前表面界定之平面151之間的Z間隙距離(Z1、Z2)。絕緣修改器可為絕緣體252及254,如在其他實施例中詳述。致動器703a、703b可分別機械耦接至絕緣體252及254,以在如由箭頭730、732所示之相對於平面151之垂直方向上驅動絕緣體。絕緣體252及254相對於平面151且亦相對於彼此的 Z位置影響電漿與電漿鞘之間的邊界的形狀,且亦影響撞擊工件138之離子的軌跡。致動器703a、703b可由控制器(諸如控制器356a、356b)控制。在其他實施例中,使用單一控制器來控制兩個致動器703a、703b。
圖18及圖19為與圖17一致的橫截面圖,用以說明在所有其他參數相等之情況下,在絕緣體252及254相對於平面151之不同Z位置處的離子軌跡。在圖18中,絕緣體252、254垂直間隔開距離(Z2a-Z1)。在圖19中,使用第二垂直間距(Z2b-Z1)來定位絕緣體252、254,其中Z2b>Z2a。因此,間隙角度(Ψ)在圖19中較大。在圖18中,電漿與電漿鞘之間的邊界863相對於平面151具有大致凸形狀。相反,在圖19中,邊界963具有較淺形狀。邊界863、963之形狀結合Z間隙距離(Z1)及(Z2a,Z2b)、間隙角度(Ψ)以及電漿鞘中之電場線影響離子撞擊工件138的中心角。舉例而言,以相對較短的垂直間距(較小間隙角度)撞擊工件138的離子的中心角比圖19中所示之以相對較大的垂直間距(較大間隙角度)撞擊工件138的離子的中心角更靠近零度(亦即,更靠近以垂直角度撞擊工件)。
在另一實施例中,絕緣體之間的垂直間距(Z2-Z1)得以維持,而Z1改變。此情形具有使絕緣體更靠近(或遠離)工件而移動且同時維持間隙角度(Ψ)的作用。在此實施例中,中心角保持恆定,而角分佈隨著Z1改變而改變。在一些實施例中,角分佈隨著Z1減小而增加,而 所述分佈隨著Z1增加而減小。換言之,例如,Z1之一個值可導致圍繞中心角之5°至10°的角分佈,而Z1的較小值可導致20°至30°的角分佈。此效應可歸因於電漿與電漿鞘之間的邊界之形狀的改變,其隨著絕緣體相對於工件移動而改變。
轉向圖20,說明與本揭露案一致之另一實施例的方塊圖,其中可調整絕緣體252與254之間的水平間距(G)。水平間距調整可代替或加上先前詳述之圖18及圖19之垂直間距調整。致動器912可機械耦接至絕緣體252及254中之至少一者,以在由箭頭916所示之方向上相對於彼此驅動絕緣體。致動器912可由控制器(諸如控制器356)控制。水平間距(G)之修改影響間隙寬度(δ )及間隙角度(Ψ)兩者。
在一實施例中,絕緣體之間的水平間隙間距(G)是變化的。水平間隙間距之修改可用於影響中心角及角分佈兩者。舉例而言,若水平間隙間距減小至0,或藉由使絕緣體重疊而為負,如圖21中所示,則中心角可變得非常大。較小的正水平間隙間距將導致較大的間隙角度(Ψ),視Z2及Z1之值而定,從而導致較大的中心角。較大的正水平間隙間距將減小間隙角度(Ψ),從而導致較小的中心角。
可使用圖22所示之組態來形成諸如圖23所示之雙峰式(bimodal)角展1200。雙峰式角展涉及具有第一角分佈之第一中心角以及具有第二角分佈之第二中心角。亦可 藉由改變僅兩個絕緣體之相對垂直位置(諸如圖15所說明)來形成此雙峰式角展。在圖22之實施例中,使用至少三個絕緣體1400、1402、1404。藉由將外部兩個絕緣體1400、1404配置於同一垂直平面(Z2)上,且維持所述絕緣體之間的相同水平間距G8、G9,有可能形成對稱的雙峰式角展1200,以約+/- θ°為中心。如上文所述,可藉由改變外部絕緣體1400、1404與中間絕緣體1402之間的垂直間距來修改中心角,以便改變間隙角度(Ψ)。可藉由改變絕緣體1400、1402、1404之間的水平間距(G8、G9)來修改角展,以便改變間隙寬度(δ )。可藉由使Z2a不同於Z2b、藉由選擇G8不同於G9或上述兩個動作之組合,來形成非對稱分佈。
雖然前述實施例將絕緣體繪示為平面的,但此並非本揭露案之要求。圖24之A至圖24之C繪示絕緣體之若干其他實施例。圖24之A繪示倒置「V」形絕緣體組態。如上文所述,電漿鞘遵循絕緣體之形狀。因此,鞘形成對應的倒置「V」形狀。絕緣體1500中之間隙允許離子經過絕緣體。倒置「V」之斜率(如由Φ界定)界定離子分佈之中心角。在此實施例中,間隙角度(Ψ)將為Φ之餘角(complement)。間隙Gc、Gd分別界定角展α1、α2。如在將圖24之A與圖24之B進行比較時可見,較大的間隙寬度(諸如Gc)比較窄的間隙寬度Gd允許更大的角展(亦即,α1>α2)。圖24之C說明另一實施例,其中絕緣體1502是非線性的、彎曲的或曲線的,使得間隙寬度Ge與 工件138成一角度。如上文所闡釋,間隙角度決定中心角,而間隙之寬度決定角展。
其他實施例亦為可能的,且在本揭露案之範疇內。舉例而言,在一些實施例中,使用兩個或兩個以上絕緣體,其中所述絕緣體間隔開,以便在其間形成間隙。絕緣體之間的間隙允許離子穿過而到達工件。在其他實施例中,使用單一絕緣體,其中具有至少一個開口或間隙,而離子可穿過所述開口或間隙。
當開發系統時,存在若干考慮因素。較高的間隙角度(Ψ)導致離子分佈之較大中心角。開口沿平面257之長度界定間隙之寬度(δ )。間隙寬度(δ )影響離子分佈之角展。重要的是,注意此兩個變數彼此獨立。換言之,可在不改變間隙寬度(δ )之情況下修改間隙角度(Ψ)。類似地,可在不影響間隙角度(Ψ)之情況下改變間隙寬度(δ )。另一所關注變數為自間隙(或任一絕緣體)至工件138之距離。此外,此變數可獨立於其他兩個變數而改變。獨立的水平及垂直致動器(見圖17及圖20)之使用允許判定這些參數時之最大靈活性。
圖25為具有用以相對於工件138驅動絕緣修改器248之掃描系統1602的電漿處理裝置1600的方塊圖。在圖25之實施例中,絕緣修改器248包含正方形絕緣薄片1612及1614,其為最佳(在圖26中可見)。掃描系統1602可包含一或多個致動器1604,其機械耦接至絕緣薄片1612及1614,以在垂直及水平方向上驅動所述絕緣薄片1612 及1614。致動器1604可由控制器(諸如控制器356)控制。
圖26為正方形絕緣薄片1612及1614以及圓盤形工件138之平面圖,用以說明其間之相對移動的一實例。在圖26之實施例中,掃描系統1602可自位置A至位置B及位置C等驅動正方形絕緣薄片1612及1614,使得工件138之所有部分暴露於由正方形絕緣薄片1612及1614界定之間隙。若笛卡爾座標系統如圖26中詳述般界定,則在圖26之X方向上驅動絕緣薄片1612及1614。在其他實施例中,可在Y方向上或以X與Y方向之間的任何角度驅動絕緣薄片1612及1614或另一組不同絕緣薄片。另外,工件138可隨著掃描系統1602在一個方向上驅動絕緣薄片1612及1614而旋轉。工件138亦可在掃描系統1602在一個方向上驅動絕緣薄片之後旋轉一預定旋轉角度。在一實例中,所述旋轉可圍繞工件之中心軸,如由箭頭1624所說明。
儘管將圖25之掃描系統繪示為具有彼此相距一垂直間距之兩個絕緣板,但其他實施例是可能的。舉例而言,可使用三個絕緣板來形成掃描系統,進而形成兩個間隙,如圖22所示。另外,可在掃描系統中使用替代形狀(諸如圖24之A至圖24之C所示之形狀)。此外,此些圖中所示之圖案可複製,使得工件之寬度或長度上存在多個間隙。在一些實施例中,所有間隙產生相同的角分佈(如圖15至圖16所示)。在其他實施例中,所述間隙以+/- θ°產生相反分佈(如圖22至圖23所示)。在其他實施例中, 所述間隙用於產生變化之角分佈。在此實施例中,工件所經歷之最終角分佈將為各種角分佈之總和。
轉向圖27,說明與圖25一致之掃描系統1602。與圖25相比,圖27之掃描系統1602包含多個絕緣體1702-1、1702-2、1702-3、…、1702-(n-1)以及1702-n,在其之間界定多個間隙1703-1、1703-2、…、1703-n。掃描系統可相對於工件138驅動所述多個絕緣體1702-1、1702-2、1702-3、…、1702-(n-1)及1702-n,因此所述多個間隙1703-1、1703-2、…、1703-n經過工件138。
可藉由改變間隙間距,或藉由改變垂直間距(Z2-Z1)來進行間隙角度(Ψ)之修改。對間隙角度之改變可影響中心角。可藉由改變絕緣體之高度(Z1)或藉由改變間隙間距來進行角分佈之修改。可使用對所有三個參數(Z2、Z1及間隙間距)之修改來形成具有所要角分佈或角展的所要中心角。
另外,冷卻絕緣體252及254可為有益或有利的。在一些實施例中,此些絕緣體可具有嵌入其中之通道,藉此流體(諸如液體或氣體)可經過,以移除熱量。在其他實施例中,絕緣體可為良好的熱導體,且可與散熱片(thermal sink)接觸。
因此,提供絕緣體修改器,用以控制電漿與電漿鞘之間的邊界的形狀。越過電漿鞘自電漿吸引之微粒的入射角因此可以較大之入射角範圍撞擊相關聯的工件。分佈之中心角可不垂直於由工件之前表面界定之工件平面,且可經 由絕緣修改器之適當置放來修改。類似地,可修改圍繞中心角之角展。在一種情況下,中心角之範圍可與在+80°與-80°之間一樣大。角展可為與10°至20°一樣大。在電漿摻雜應用中,可更均勻地摻雜工件上之較小三維結構。舉例而言,與具有低得多之入射角範圍之習知電漿摻雜裝置相比,可更均勻地摻雜溝槽244之側壁247(見圖2)。
本揭露案之範疇不受本文所描述之特定實施例限制。實際上,熟習此項技術者自前面之描述以及隨附圖式將明白除本文所述之外的本揭露案之其他各種實施例及修改。因此,此些其他實施例及修改既定屬於本揭露案之範疇內。另外,儘管本文已出於特定目的在特定環境中之特定實施方案之上下文中描述了本揭露案,但熟習此項技術者將認識到,本揭露案之有用性不限於此,且本揭露案可為任何數目之目的在任何數目之環境下有益地實施。因此,下文所陳述之申請專利範圍應鑒於如本文所述之本揭露案之完整廣度及精神而解釋。
102‧‧‧離子
134‧‧‧壓板
138‧‧‧工件
140‧‧‧電漿
141、241、841、843、863、963、1041、1043‧‧‧邊界
142、242‧‧‧電漿鞘
144、244‧‧‧溝槽
147、247‧‧‧側壁
151、257‧‧‧平面
200、1100、1600‧‧‧電漿處理裝置
202‧‧‧處理腔室
206、301‧‧‧源
208‧‧‧絕緣修改器
212、214、252、254、1302-1~1302-n、1400、1402、1404、1500、1502、1702-1~1702-n‧‧‧絕緣體
269、270、271‧‧‧軌跡路徑
288、304‧‧‧氣體源
290‧‧‧偏壓源
300‧‧‧電漿摻雜裝置
303‧‧‧封閉體
306‧‧‧質量流量控制器
308‧‧‧壓力計
310‧‧‧排氣口
312‧‧‧真空泵
314‧‧‧排氣閥
316‧‧‧氣體壓力控制器
318‧‧‧腔室頂部
320‧‧‧第一區段
322‧‧‧第二區段
324‧‧‧蓋
326‧‧‧平面天線
346‧‧‧螺旋天線
350‧‧‧RF源
352‧‧‧阻抗匹配網路
356‧‧‧控制器
358‧‧‧使用者介面系統
370‧‧‧氣體隔板
390‧‧‧偏壓電源
394‧‧‧屏蔽環
399‧‧‧法拉第杯
602、603‧‧‧曲線
702、902、912、1104、703a、703b、1604‧‧‧致動器
720、722、730、732、906、916、1124‧‧‧箭頭
820、840‧‧‧Z間隙位置
1020、1040‧‧‧水平間隙位置
1102、1602‧‧‧掃描系統
1112、1114‧‧‧正方形絕緣薄片
1200‧‧‧雙峰式角展
1303-1~1303-n、1703-1~1703-n、Gc、Gd‧‧‧間隙
1612、1614‧‧‧絕緣薄片
G、G6、G8、G9‧‧‧水平間距
G1‧‧‧總水平間距
G2‧‧‧周邊水平間距
G3‧‧‧中心水平間距
G4‧‧‧周邊水平間距
G5‧‧‧較寬水平間距
G7‧‧‧空間
Ga‧‧‧第一水平距離
Gb‧‧‧第二水平距離
Ge‧‧‧間隙寬度
Z‧‧‧垂直間距
Z1、Z2、Z2a、Z2b‧‧‧Z間隙距離
Za、Zb‧‧‧距離
α1、α2‧‧‧角展
Ψ‧‧‧間隙角度
δ ‧‧‧間隙寬度
Φ‧‧‧倒置「V」之斜率
圖1為與先前技術一致之習知電漿處理裝置的簡化方塊圖。
圖2為與本揭露案之實施例一致之電漿處理裝置的方塊圖。
圖3為與本揭露案之實施例一致之電漿摻雜裝置的方塊圖。
圖4為用以控制電漿與電漿鞘之間的邊界之形狀的一 對絕緣體的橫截面圖。
圖5為與圖4一致之說明越過圖4之邊界而加速之離子的離子軌跡的橫截面圖。
圖6為圖5之離子軌跡的角離子分佈(angular ion distribution)的曲線。
圖7為用以控制一對絕緣體與工件之間的垂直間距的系統的方塊圖。
圖8為與圖7一致之說明不同垂直間距下之離子軌跡的橫截面圖。
圖9為用以控制一對絕緣體之間的水平間距的系統的方塊圖。
圖10為與圖9一致之說明不同水平間距下之離子軌跡的橫截面圖。
圖11為具有用以使一對絕緣薄片相對於工件而移動之掃描系統的電漿處理裝置的方塊圖。
圖12為圖11之絕緣薄片的平面圖,其繪示絕緣薄片與圓盤形工件之間的相對移動。
圖13為與圖11一致之具有多個絕緣體的掃描系統的方塊圖。
圖14為與本揭露案之第二實施例一致之電漿處理裝置的方塊圖。
圖15為用以控制電漿與電漿鞘之間的邊界之形狀的兩個絕緣體的橫截面圖。
圖16為圖15之離子軌跡的角離子分佈的曲線。
圖17為用以控制一組絕緣體與工件之間的垂直間距的系統的方塊圖。
圖18為與圖17一致之說明第一垂直間距下之離子軌跡的橫截面圖。
圖19為與圖17一致之說明第二垂直間距下之離子軌跡的橫截面圖。
圖20為用以控制絕緣體之間的水平間距的系統的方塊圖。
圖21為與圖20一致之說明負水平間距下之離子軌跡的橫截面圖。
圖22為用以控制電漿與電漿鞘之間的邊界之形狀的三個絕緣體的橫截面圖。
圖23為圖22之離子軌跡的角離子分佈的曲線。
圖24之A至圖24之C為絕緣修改器之額外實施例的橫截面圖。
圖25為具有用以使絕緣薄片相對於工件而移動之掃描系統的電漿處理裝置的方塊圖。
圖26為圖25之絕緣薄片的平面圖,其繪示絕緣薄片與圓盤形工件之間的相對移動。
圖27為與圖25一致之具有多個絕緣體的掃描系統的方塊圖。
102‧‧‧離子
134‧‧‧壓板
138‧‧‧工件
140‧‧‧電漿
151‧‧‧平面
200‧‧‧電漿處理裝置
202‧‧‧處理腔室
206‧‧‧源
208‧‧‧絕緣修改器
212、214‧‧‧絕緣體
241‧‧‧邊界
242‧‧‧電漿鞘
244‧‧‧溝槽
247‧‧‧側壁
269、270、271‧‧‧軌跡路徑
288‧‧‧氣體源
290‧‧‧偏壓源
G‧‧‧水平間距
Z‧‧‧垂直間距

Claims (19)

  1. 一種電漿處理裝置,包括:處理腔室;壓板,定位於所述處理腔室中,用於支撐工件;源,經組態以在所述處理腔室中產生電漿,所述電漿具有鄰近於所述工件之前表面的電漿鞘;絕緣修改器,所述絕緣修改器中具有間隙,其中間隙平面由所述絕緣修改器之最靠近所述電漿鞘且接近所述間隙之部分界定,且間隙角度為所述間隙平面與由所述工件之面向所述電漿之所述前表面界定之工件平面之間的角度,且其中所述間隙角度非零;以及偏壓源,經組態以加偏壓於所述工件,以越過所述電漿鞘自所述電漿朝所述工件吸引離子,用於處理所述工件,其中所述離子相對於所述工件平面之入射角範圍取決於所述電漿與所述電漿鞘之間的邊界的形狀,且其中所述入射角範圍包括中心角以及圍繞所述中心角之角分佈,且所述中心角不垂直於所述工件平面。
  2. 如申請專利範圍第1項所述之電漿處理裝置,其中所述絕緣修改器至少包括第一絕緣體及第二絕緣體,所述第一絕緣體與所述第二絕緣體之間具有所述間隙,其中所述間隙平面由所述第一絕緣體之第一邊緣以及所述第二絕緣體之第二邊緣界定,藉此所述第一邊緣在所述第一絕緣體之最靠近所述電漿且接近所述間隙的一側上,且藉此所述第二邊緣在所述第二絕緣體之最靠近所述電漿且接近所 述間隙的一側上。
  3. 如申請專利範圍第2項所述之電漿處理裝置,其中所述第一絕緣體及所述第二絕緣體包括絕緣薄片。
  4. 如申請專利範圍第2項所述之電漿處理裝置,更包括致動器,所述致動器機械耦接至所述第一絕緣體及所述第二絕緣體中之至少一者,以調整所述間隙之水平間距。
  5. 如申請專利範圍第2項所述之電漿處理裝置,更包括致動器,所述致動器機械耦接至所述第一絕緣體及所述第二絕緣體中之至少一者,以調整所述第一絕緣體與所述第二絕緣體之間的垂直間距。
  6. 如申請專利範圍第2項所述之電漿處理裝置,其中所述第一絕緣體及第二絕緣體由石英製造。
  7. 如申請專利範圍第2項所述之電漿處理裝置,更包括掃描系統,所述掃描系統經組態以相對於所述工件移動所述第一絕緣體及所述第二絕緣體。
  8. 如申請專利範圍第1項所述之電漿處理裝置,其中所述絕緣修改器至少包括第一絕緣體、第三絕緣體以及定位於所述第一絕緣體與所述第三絕緣體之間的第二絕緣體,所述三個絕緣體之間界定兩個間隙,且其中所述第一絕緣體及所述第三絕緣體距所述工件平面具有第一垂直間距,且所述第二絕緣體距所述工件平面具有第二垂直間距,其中所述第二垂直間距不同於所述第一垂直間距。
  9. 如申請專利範圍第1項所述之電漿處理裝置,其中所述絕緣修改器包括第一絕緣體及第二絕緣體,所述第一 絕緣體及所述第二絕緣體中的每一者具有第一端及第二端,其中所述第一絕緣體之所述第二端及所述第二絕緣體之所述第一端接觸,且相對於彼此成角度定位,其中所述第一絕緣體及所述第二絕緣體中之至少一者中包括間隙。
  10. 一種處理工件之方法,包括:將工件定位在處理腔室中;在所述處理腔室中產生電漿,所述電漿具有鄰近於所述工件之前表面的電漿鞘;用絕緣修改器修改所述電漿與所述電漿鞘之間的邊界的形狀;越過所述電漿鞘自所述電漿朝所述工件吸引離子,其中所述離子相對於由所述工件之前表面界定之工件平面之入射角範圍取決於所述電漿與所述電漿鞘之間的所述邊界的所述形狀,且其中所述離子之所述入射角範圍包括中心角以及圍繞所述中心角之角分佈,且其中所述中心角不垂直於所述工件平面。
  11. 如申請專利範圍第10項所述之處理工件之方法,其中所述絕緣修改器中包括間隙,其中間隙平面由所述修改器之最靠近所述電漿鞘且接近所述間隙之部分界定,且間隙角度被界定為所述間隙平面與由所述工件之面向所述電漿之所述前表面界定之所述工件平面之間的角度,且其中所述間隙角度非零。
  12. 如申請專利範圍第11項所述之處理工件之方法,其中所述絕緣修改器包括第一絕緣體及第二絕緣體, 且所述修改操作包括在所述第一絕緣體與所述第二絕緣體之間形成所述間隙。
  13. 如申請專利範圍第11項所述之處理工件之方法,更包括調整所述間隙之水平間距。
  14. 如申請專利範圍第12項所述之處理工件之方法,更包括調整所述第一絕緣體與所述第二絕緣體之間的垂直間距。
  15. 如申請專利範圍第10項所述之處理工件之方法,更包括使所述工件相對於所述絕緣修改器旋轉。
  16. 如申請專利範圍第12項所述之處理工件之方法,更包括調整所述第一絕緣體與所述工件之間的垂直間距。
  17. 如申請專利範圍第12項所述之處理工件之方法,其中所述修改操作包括在所述第一絕緣體與所述第二絕緣體之間形成所述間隙,且其中所述角分佈由所述第一絕緣體與所述工件之間的垂直間距、所述第一絕緣體與所述第二絕緣體之間的垂直間距以及所述第一絕緣體與所述第二絕緣體之間的水平間距決定。
  18. 如申請專利範圍第17項所述之處理工件之方法,其中所述中心角由所述第一絕緣體與所述第二絕緣體之間的所述垂直間距以及所述第一絕緣體與所述第二絕緣體之間的所述水平間距決定。
  19. 如申請專利範圍第17項所述之處理工件之方法,所述中心角由所述間隙角度決定。
TW099109628A 2009-04-03 2010-03-30 電漿處理裝置 TWI463034B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus
US12/644,103 US8101510B2 (en) 2009-04-03 2009-12-22 Plasma processing apparatus

Publications (2)

Publication Number Publication Date
TW201116646A TW201116646A (en) 2011-05-16
TWI463034B true TWI463034B (zh) 2014-12-01

Family

ID=42826536

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109628A TWI463034B (zh) 2009-04-03 2010-03-30 電漿處理裝置

Country Status (6)

Country Link
US (2) US8101510B2 (zh)
JP (1) JP5704577B2 (zh)
KR (2) KR101707563B1 (zh)
CN (1) CN102428762B (zh)
TW (1) TWI463034B (zh)
WO (1) WO2010115110A2 (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8461030B2 (en) 2009-11-17 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for controllably implanting workpieces
US8187979B2 (en) 2009-12-23 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Workpiece patterning with plasma sheath modulation
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
US20120213941A1 (en) * 2011-02-22 2012-08-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted plasma treatment of a three-dimensional structure
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US8288741B1 (en) * 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US8974683B2 (en) * 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US9136096B2 (en) * 2012-07-27 2015-09-15 Varian Semiconductor Equipment Associates, Inc. Three dimensional metal deposition technique
US8728951B2 (en) * 2012-07-31 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Method and system for ion-assisted processing
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9728623B2 (en) 2013-06-19 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Replacement metal gate transistor
US9153444B2 (en) 2013-06-19 2015-10-06 Varian Semiconductor Equipment Associates, Inc. Process flow for replacement metal gate transistors
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9530674B2 (en) 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
US9515166B2 (en) 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9336998B2 (en) 2014-05-09 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for dynamic control of ion beam energy and angle
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9520267B2 (en) * 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9589769B2 (en) * 2014-07-09 2017-03-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for efficient materials use during substrate processing
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9478399B2 (en) 2015-03-27 2016-10-25 Varian Semiconductor Equipment Associates, Inc. Multi-aperture extraction system for angled ion beam
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9653310B1 (en) 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10229832B2 (en) 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102137886B1 (ko) * 2018-10-26 2020-07-24 인제대학교 산학협력단 h-BN 성장용 LPCVD 시스템
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US20200321186A1 (en) * 2019-04-02 2020-10-08 Applied Materials, Inc. Method and apparatus for angled etching
US11056319B2 (en) * 2019-07-29 2021-07-06 Applied Materials, Inc. Apparatus and system having extraction assembly for wide angle ion beam
US11791126B2 (en) 2019-08-27 2023-10-17 Applied Materials, Inc. Apparatus for directional processing
US11495430B2 (en) 2020-07-15 2022-11-08 Applied Materials, Inc. Tunable extraction assembly for wide angle ion beam
US11978640B2 (en) 2021-04-09 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200610837A (en) * 2004-08-20 2006-04-01 Advanced Display Proc Eng Co Plasma processing apparatus
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
JPH08138595A (ja) 1994-11-10 1996-05-31 Nissin Electric Co Ltd イオン源
JPH1116858A (ja) 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR100281241B1 (ko) 1998-11-19 2001-06-01 하대규 파라데이 상자의 윗면의 격자면을 변화시켜 플라즈마 식각을하는 방법
US6512333B2 (en) 1999-05-20 2003-01-28 Lee Chen RF-powered plasma accelerator/homogenizer
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
SG126681A1 (en) 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
JP2003133252A (ja) * 2001-10-26 2003-05-09 Semiconductor Energy Lab Co Ltd ビームの集束方法およびドーピング装置、並びに半導体装置の作製方法
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
JP4411581B2 (ja) 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
JP2005123369A (ja) * 2003-10-16 2005-05-12 Toppan Printing Co Ltd ドライエッチング方法及びそのドライエッチング装置
JP2006054334A (ja) 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
KR100714898B1 (ko) * 2005-01-21 2007-05-04 삼성전자주식회사 중성빔을 이용한 기판 처리장치 및 처리방법
KR100702010B1 (ko) * 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US7687787B2 (en) 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
JP2006278006A (ja) 2005-03-28 2006-10-12 Japan Atomic Energy Agency イオン源引き出し領域におけるプラズマ境界面制御方法及びそのイオン源
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
JP4882456B2 (ja) 2006-03-31 2012-02-22 株式会社Ihi イオン注入装置
US7498592B2 (en) 2006-06-28 2009-03-03 Wisconsin Alumni Research Foundation Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
KR100868019B1 (ko) 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US20090084987A1 (en) 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
EP2175469A1 (en) 2008-10-09 2010-04-14 Danmarks Tekniske Universitet (DTU) Ion beam extraction by discrete ion focusing
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200610837A (en) * 2004-08-20 2006-04-01 Advanced Display Proc Eng Co Plasma processing apparatus
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle

Also Published As

Publication number Publication date
US20100255665A1 (en) 2010-10-07
KR20110135871A (ko) 2011-12-19
US20120111834A1 (en) 2012-05-10
KR101707563B1 (ko) 2017-02-16
KR101811790B1 (ko) 2017-12-22
JP5704577B2 (ja) 2015-04-22
US8101510B2 (en) 2012-01-24
TW201116646A (en) 2011-05-16
KR20170019483A (ko) 2017-02-21
WO2010115110A2 (en) 2010-10-07
US8664098B2 (en) 2014-03-04
CN102428762A (zh) 2012-04-25
WO2010115110A3 (en) 2011-01-13
JP2012523122A (ja) 2012-09-27
CN102428762B (zh) 2014-10-08

Similar Documents

Publication Publication Date Title
TWI463034B (zh) 電漿處理裝置
CN102422722B (zh) 等离子体处理装置与方法
US8858816B2 (en) Enhanced etch and deposition profile control using plasma sheath engineering
JP6388580B2 (ja) プラズマ処理装置及びスパッタリングシステム
US20090084987A1 (en) Charge neutralization in a plasma processing apparatus
US10388528B2 (en) Non-ambipolar electric pressure plasma uniformity control
US9297063B2 (en) Plasma potential modulated ion implantation system
TWI520660B (zh) 保形沈積的方法以及在工件中蝕刻三維特徵的方法
TW201114332A (en) Plasma processing apparatus