CN102422722B - 等离子体处理装置与方法 - Google Patents

等离子体处理装置与方法 Download PDF

Info

Publication number
CN102422722B
CN102422722B CN201080019703.9A CN201080019703A CN102422722B CN 102422722 B CN102422722 B CN 102422722B CN 201080019703 A CN201080019703 A CN 201080019703A CN 102422722 B CN102422722 B CN 102422722B
Authority
CN
China
Prior art keywords
plasma
workpiece
insulator
plane
border
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080019703.9A
Other languages
English (en)
Other versions
CN102422722A (zh
Inventor
卢多维克·葛特
提摩太·J·米勒
克里斯多夫·J·里维特
伯纳德·G·琳赛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN102422722A publication Critical patent/CN102422722A/zh
Application granted granted Critical
Publication of CN102422722B publication Critical patent/CN102422722B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种等离子体处理装置包含:处理腔室;压板,其定位于所述处理腔室中,用于支撑工件;源,其经组态以在所述处理腔室中产生等离子体,所述等离子体具有邻近所述工件的前表面的等离子体鞘;以及绝缘修改器。所述绝缘修改器经组态以控制等离子体与等离子体鞘之间的边界的形状,使得所述边界的形状的一部分不平行于由工件的面向等离子体的前表面界定的平面。控制所述等离子体与所述等离子体鞘之间的所述边界的所述形状使得能够达成撞击所述工件的微粒的较大的入射角范围。

Description

等离子体处理装置与方法
技术领域
本揭示案是有关于等离子体处理(plasma processing),且更明确而言,是有关于等离子体处理装置(plasma processing apparatus)。
背景技术
等离子体处理装置在处理腔室(process chamber)中产生等离子体(plasma),以用于处理由处理腔室中的压板(platen)支撑的工件(workpiece)。等离子体处理装置可包含(但不限于)掺杂系统(dopingsystem)、蚀刻系统(etching system)以及沉积系统(deposition system)。等离子体通常为离子(ion)(通常具有正电荷)与电子(具有负电荷)的准中性集合(quasi-neutral collection)。等离子体在等离子体的体积中具有每公分约0伏特的电场(electric field)。在一些等离子体处理装置中,来自等离子体的离子被朝工件吸引。在等离子体掺杂装置(plasmadoping apparatus)中,可用足够的能量来吸引离子,以将其植入工件的实体结构(physical structure)(例如在一个例子中为半导体基板(semiconductor substrate))中。
等离子体由接近工件的通常被称为等离子体鞘(plasma sheath)的区域定界。等离子体鞘是与等离子体相比具有较少电子的区域。因为存在较少电子,来自此等离子体鞘的光发射的强度小于等离子体,且因此鲜有激发-驰豫冲突(excitation-relaxation collision)发生。因此,等离子体鞘有时被称为“暗区(dark space)”。
转向图1,说明已知等离子体处理装置的多个部分的横截面图,其中等离子体140具有等离子体鞘142,其邻近待处理的工件138的前表面。工件138的前表面界定平面151,且工件138由压板134支撑。等离子体140与等离子体鞘142的间的边界141平行于平面151。来自等离子体140的离子102可越过等离子体鞘142被朝工件138吸引。因此,朝工件138加速的离子102通常相对于平面151以0°的入射角(angle ofincidence)(例如,垂直于平面151)撞击工件138。入射角可能存在小于约3°的较小角展(angular spread)。另外,藉由控制等离子体处理参数(plasma process parameter)(诸如处理腔室内的气体压力),可使所述角展增加至多达约5°。
已知等离子体处理的缺点为缺乏对离子102的角展控制。随着工件上的结构变小,且随着三维结构变得更常见(例如沟槽式电容器(trenchcapacitor)、垂直通道电晶体(vertical channel transistor),诸如FinFET),具有较大的角度控制将是有益的。举例而言,图1中为说明的清楚起见而展示具有夸大尺寸的沟槽(trench)144。在以约0°的入射角或甚至多达5°的角展来引导离子102的情况下,可能难以均匀地处理沟槽144的侧壁(sidewall)147。
因此,需要一种等离子体处理装置,其克服上述不足及缺点。
发明内容
根据本发明的第一态样,提供一种等离子体处理装置。所述等离子体处理装置包含:处理腔室;压板,其定位于处理腔室中,用于支撑工件;源,其经组态以在处理腔室中产生等离子体,所述等离子体具有邻近工件的前表面的等离子体鞘;以及绝缘修改器(modifier)。所述绝缘修改器经组态以控制等离子体与等离子体鞘之间的边界的形状,使得所述边界的形状的一部分不平行于由工件的面向等离子体的前表面界定的平面。
根据本发明的另一态样,提供一种方法。所述方法包含:将工件定位在处理腔室中;在所述处理腔室中产生等离子体,所述等离子体具有邻近所述工件的前表面的等离子体鞘;以及用绝缘修改器修改等离子体与等离子体鞘之间的边界的形状,使得所述边界的形状的一部分不平行于由工件的面向等离子体的前表面界定的平面。
附图说明
为了更清楚理解本揭示案,伴随图式做为参考,其中相同的组件以相同的标号表示:
图1为与现有技术一致的已知等离子体处理装置的简化方块图。
图2为与本揭示案的实施例一致的等离子体处理装置的方块图。
图3为与本揭示案的实施例一致的等离子体掺杂装置的方块图。
图4为用以控制等离子体与等离子体鞘之间的边界的形状的一对绝缘体的横截面图。
图5为与图4一致的说明越过图4的边界而加速的离子的离子轨迹的横截面图。
图6为图5的离子轨迹的角离子分布的曲线。
图7为用以控制一对绝缘体与工件之间的垂直间距的系统的方块图。
图8为与图7一致的说明不同垂直间距下的离子轨迹的横截面图。
图9为用以控制一对绝缘体之间的水平间距的系统的方块图。
图10为与图9一致的说明不同水平间距下的离子轨迹的横截面图。
图11为具有用以使一对绝缘薄片相对于工件而移动的扫描系统的等离子体处理装置的方块图。
图12为图11的绝缘薄片的平面图,其绘示绝缘薄片与圆盘形工件之间的相对移动。
图13为与图11一致的具有多个绝缘体的扫描系统的方块图。
具体实施方式
图2为与本揭示案的实施例一致的具有绝缘修改器208的等离子体处理装置200的方块图。绝缘修改器208经组态以修改等离子体鞘242内的电场,以控制等离子体140与等离子体鞘242之间的边界241的形状。因此,越过等离子体鞘242自等离子体140被吸引的离子102可以较大的入射角范围撞击工件138。
本文可进一步将等离子体处理装置200描述为等离子体掺杂装置。然而,等离子体处理装置200亦可包含(但不限于)蚀刻及沉积系统。此外,等离子体掺杂系统可对经处理的工件执行许多不同材料修改处理。一种此类处理包含用所要掺杂剂来掺杂工件(诸如半导体基板)。
等离子体处理装置200可包含处理腔室202、压板134、源206以及绝缘修改器208。压板134定位于处理腔室202中,用于支撑工件138。工件可包含(但不限于)半导体晶圆、平坦面板、太阳电池板(solar panel)以及聚合物基板。在一实施例中,半导体晶圆可具有圆盘形状,其具有300毫米(mm)的直径。如此项技术中已知,源206经组态以在处理腔室202中产生等离子体140。在图2的实施例中,绝缘修改器208包含一对绝缘体212及214,-二者之间界定间隙,所述间隙具有水平间距(G)。在其他实施例中,绝缘修改器可仅包含一个绝缘体。此对绝缘体212及214可为具有薄的平坦形状的一对薄片。在其他实施例中,所述对绝缘体212及214可为其他形状,诸如管形、楔形,且/或具有接近所述间隙的倾斜边缘。
在一实施例中,由此对绝缘体212及214界定的间隙的水平间距可为约6.0毫米(mm)。此对绝缘体212及214亦可定位在由工件138的前表面界定的平面151上方的垂直间距(vertical spacing)(Z)处。在一实施例中,所述垂直间距(Z)可为约3.0mm。
在操作中,气体源(gas source)288将可离子化气体(ionizable gas)供应至处理腔室202。可离子化气体的实例包含(但不限于)BF3、BI3、N2、Ar、PH3、AsH3、B2H6、H2、Xe、Kr、Ne、He、SiH4、SiF4、GeH4、GeF4、CH4、CF4、AsF5、PF3及PF5。源206可藉由激发及离子化提供至处理腔室202的气体而产生等离子体140。可藉由不同机制越过等离子体鞘242自等离子体140吸引离子。在图2的实施例中,偏压源290经组态以加偏压于工件138,以越过等离子体鞘242自等离子体140吸引离子102。偏压源290可为用以提供DC电压偏压信号的DC电源,或用以提供RF偏压信号的RF电源。
有利的是,绝缘修改器208修改等离子体鞘242内的电场,以控制等离子体140与等离子体鞘242之间的边界241的形状。在图2的实施例中,绝缘修改器208包含一对绝缘体212及214。绝缘体212、214可由石英、氧化铝、氮化硼、玻璃、氮化硅等制造。等离子体140与等离子体鞘242之间的边界241可相对于平面151具有凸形状。当偏压源290加偏压于工件138时,离子102以较大的入射角范围被越过等离子体鞘242而吸引穿过绝缘体212与214之间的间隙。举例而言,遵循轨迹路径(trajectory path)271的离子可相对于平面151以+θ°的角度撞击工件138。遵循轨迹路径270的离子可相对于同一平面151以约0°的角度撞击工件138。遵循轨迹路径269的离子可相对于平面151以-θ°的角度撞击工件138。因此,入射角的范围可在以约0°为中心的+θ°与-θ°之间。另外,一些离子轨迹路径(诸如路径269及271)可彼此交叉。视若干因数(包含但不限于,绝缘体212与214之间的水平间距(G)、绝缘体在平面151上方的垂直间距(Z)、绝缘体212及214的介电常数(dielectric constant)以及其他等离子体处理参数)而定,入射角(θ)的范围可在以约0°为中心的+60°与-60°之间。因此,工件138上的较小的三维结构可由离子102均匀地处理。举例而言,与图1的情形相比,沟槽244的为说明的清楚起见而具有夸大尺寸的侧壁247可由离子102更均匀地处理。
转向图3,说明一例示性等离子体掺杂装置300的方块图。与图2的装置一致,等离子体掺杂装置300具有一对绝缘体212及214,以控制等离子体140与等离子体鞘242之间的边界241的形状。
等离子体掺杂装置300包含处理腔室202,其界定封闭体(enclosedvolume)303。气体源304经由质量流量控制器(mass flow controller)306向处理腔室302的封闭体303提供主要掺杂剂气体(primary dopantgas)。气体隔板(gas baffle)370可定位于处理腔室202中,以使来自气体源304的气体流偏转。压力计(pressure gauge)308量测处理腔室202内的压力。真空泵(vacuum pump)312经由排气口(exhaust port)310抽空来自处理腔室202的排气。排气阀(exhaust valve)314控制经由排气口310的排气传导(exhaust conductance)。
等离子体掺杂装置300可进一步包含气体压力控制器(gas pressurecontroller)316,其电连接至质量流量控制器306、压力计308及排气阀314。气体压力控制器316可经组态以藉由在回应于压力计308的回馈环路(feedback loop)中用排气阀314控制排气传导或用质量流量控制器306控制处理气体流动速率,以维持处理腔室202中的所要压力。
处理腔室202可具有腔室顶部(chamber top)318,其包含第一区段(first section)320,所述第一区段320由介电材料形成,且在大体水平方向上延伸。腔室顶部318亦包含第二区段(second section)322,其由介电材料形成,且在大体垂直方向上自第一区段320延伸一高度。腔室顶部318进一步包含盖(lid)324,其由导电且导热的材料形成,且在水平方向上延伸越过第二区段322。
等离子体掺杂装置进一步包含源301,其经组态以在处理腔室202内产生等离子体140。源301可包含RF源350,诸如电源,用以将RF功率供应至平面天线(planar antenna)326及螺旋天线(helical antenna)346中的一者或两者,以产生等离子体140。RF源350可藉由阻抗匹配网络(impedance matching network)352耦接至平面天线326、螺旋天线346,阻抗匹配网络352使RF源350的输出阻抗与平面天线326、螺旋天线346的阻抗匹配,以便使自RF源350转移至平面天线326、螺旋天线346的功率增至最大。
等离子体掺杂装置亦可包含偏压电源(bias power supply)390,其电耦接至压板134。等离子体掺杂系统可进一步包含控制器356及用户界面系统(user interface system)358。控制器356可为或包含通用电脑(general-purpose computer)或通用电脑的网络,其可经程式化以执行所要的输入/输出功能。控制器356亦可包含通信设备、数据储存设备及软件。用户界面系统358可包含诸如触控式屏幕、键盘、使用者指点设备(user pointing device)、显示器、打印机等设备,以允许使用者经由控制器356输入命令及/或数据,且/或监视等离子体掺杂装置。屏蔽环(shield ring)394可安置于压板134周围,以改良工件138的边缘附近的所植入离子分布的均匀性。亦可将诸如法拉第杯(Faraday cup)399的一或多个法拉第感测器定位于屏蔽环394中,以感测离子束电流。
在操作中,气体源304供应含有所要掺杂剂的主要掺杂剂气体,以供植入工件138中。源301经组态以在处理腔室302内产生等离子体140。源301可由控制器356控制。为了产生等离子体140,RF源350使平面天线326、螺旋天线346中的至少一者中的RF电流共振(resonate),以产生振荡磁场。所述振荡磁场将RF电流感应至处理腔室202中。处理腔室202中的RF电流激发并离子化主要掺杂剂气体,以产生等离子体140。
偏压电源390提供具有脉冲接通(ON)及断开(OFF)周期的经脉冲的压板信号,以加偏压于压板134,且因此加偏压于工件138,以使来自等离子体140的离子越过等离子体鞘242朝工件138加速。离子102可为带正电的离子,且因此经脉冲的压板信号的脉冲接通周期可相对于处理腔室202为负电压脉冲,以吸引带正电的离子102。可选择经脉冲的压板信号的频率及/或脉冲的工作周期(duty cycle),以提供所要的剂量率。可选择经脉冲的压板信号的振幅,以提供所要的能量。
有利的是,此对绝缘体212及214控制等离子体140与等离子体鞘242之间的边界241的形状,如先前相对于图2详述。因此,可以较大的入射角范围越过等离子体鞘242吸引离子102穿过绝缘体212与214之间的间隙,以用于掺杂工件138。
转向图4,说明此对绝缘体212及214以及工件138的局部横截面图,其绘示等离子体鞘242中围绕由绝缘体212及214界定的间隙的电场线。所述电场线以及等离子体与等离子体鞘242之间的所得弓形边界241得自电脑模拟,其中工件138在-2,000伏特下偏压,且绝缘体212及214由玻璃制造。如所说明,围绕所述间隙的弓形边界241可进一步具有相对于平面151的凸形状。
图5为与图4一致的横截面图,其说明越过等离子体鞘242而加速穿过绝缘体212与214之间的间隙的模拟离子轨迹。在等离子体掺杂装置中,离子可因边界241的形状以及等离子体鞘242内的电场线而在间隙间距的中心区域中植入工件138中。举例而言,在绝缘体212与214之间的总水平间距(G1)中,离子围绕中心水平间距(G3)撞击工件138。在此实施例中,无离子围绕接近绝缘体212及214的周边水平间距(G2)及(G4)撞击工件。
图6是与图5所说明的离子轨迹一致的撞击工件138的离子的入射角分布的曲线602。如图所示,曲线602揭示入射角以约0°为中心,且在自约+60°至-60°的较大角范围上改变。此较大入射角范围达成三维结构的保形(conformal)掺杂。举例而言,可用具有此较大入射角范围的离子更均匀地掺杂沟槽结构的侧壁。
转向图7,说明与本揭示案一致的另一实施例的方块图,其中可调整绝缘修改器与由工件138的前表面界定的平面151之间的垂直间距(Z)。绝缘修改器可为此对绝缘体212及214,如在其他实施例中详述。致动器(actuator)702可机械耦接至此对绝缘体212及214,以在如由箭头720、722所示的相对于平面151的垂直方向上驱动绝缘体。此对绝缘体212及214相对于平面151且亦相对于彼此的Z位置影响等离子体与等离子体鞘之间的边界的形状,且亦影响撞击工件138的离子的轨迹。致动器702可由控制器(诸如控制器356)控制。
图8为与图7一致的横截面图,用以说明在所有其他参数相等的情况下在此对绝缘体212及214相对于平面151的不同Z位置处的离子轨迹。在第一相对较短的Z间隙位置820中,绝缘体212、214定位于平面151上方第一距离(Z1)处。在相比而言较高的Z间隙位置840处,绝缘体212、214定位于平面151上方第二距离(Z2)处,其中(Z2)>(Z1)。在Z间隙位置820中,等离子体与等离子体鞘之间的边界841具有相对于平面151的凸形状。边界841亦具有近似接近圆的圆周的一部分的形状的形状,其中弓形形状的顶点在绝缘体212的顶部表面上方一距离(Za)处。相反,Z间隙位置840中的边界843具有较浅形状,其中弓形形状的顶点在绝缘体212的顶部表面上方较短距离(Zb)处,或其中(Zb)<(Za)。与第一距离(Z1)及第二距离(Z2)组合的边界841、843的形状以及等离子体鞘中的电场线影响撞击工件138的离子的角展。举例而言,以相对较短的Z间隙位置820撞击工件138的离子的角展大于以相对较长的Z间隙位置撞击工件138的离子的角展。另外,离子撞击工件138的具有较短Z间隙位置820的较宽水平间距(G5)(与具有较高Z间隙位置的水平间距(G6)相比,其中(G6)<(G5))。尽管图8中未说明,但每一绝缘体212及214的Z间隙位置亦可彼此不同,以进一步影响等离子体与等离子体鞘之间的边界的形状,且因此影响离子的角展。
转向图9,说明与本揭示案一致的另一实施例的方块图,其中可调整绝缘体212与214之间的水平间距(G)。水平间距调整可代替或加上图8及图9早先详述的垂直间距调整。致动器902可机械耦接至此对绝缘体212及214中的至少一者,以在由箭头906所示的方向上,相对于彼此驱动绝缘体。致动器902可由控制器(诸如控制器356)控制。
图10为与图9一致的横截面图,用以说明在所有其他参数相等的情况下在绝缘体212与214之间的不同水平间隙间距下的离子轨迹。在第一相对较短水平间隙位置1020中,绝缘体212、214定位为彼此相距第一水平距离(Ga)。在相比而言较长的水平间隙位置1040中,绝缘体212、214定位为彼此相距第二水平距离(Gb),其中(Gb)>(Ga)。在水平间隙位置1020中,等离子体与等离子体鞘之间的边界1041具有相对于平面151的凸形状。边界1041亦具有近似接近圆的圆周的一部分的形状的形状。相反,水平间隙位置1040中的边界1043具有相对于平面151的凸形状,其中边界1043的中心部分大约平行于平面151。因此,用相对于平面151具有约0°的入射角的离子撞击工件138的较大对应中心部分。
图11为具有用以相对于工件138驱动绝缘修改器208的扫描系统1102的等离子体处理装置1100的方块图。在图11的实施例中,绝缘修改器208包含一对正方形绝缘薄片1112及1114,其最佳可见于图12中。扫描系统1102可包含致动器1104,其机械耦接至绝缘薄片1112及1114,以驱动所述绝缘薄片1112及1114。致动器1104可由控制器(诸如控制器356)控制。
图12为正方形绝缘薄片1112及1114以及圆盘形工件138的平面图,用以说明其间的相对移动的一实例。在图12的实施例中,扫描系统1102可驱动正方形绝缘薄片1112及1114自位置A至位置B及位置C等,使得工件138的所有部分暴露于由此对正方形绝缘薄片1112及1114界定的间隙。若笛卡尔座标系统(Cartesian coordinate system)如图12中详述般界定,则在图12的X方向上驱动绝缘薄片1112及1114。在其他实施例中,可在Y方向上或以X与Y方向之间的任何角度驱动绝缘薄片1112及1114或另一组不同绝缘薄片。另外,工件138可随着扫描系统1102在一个方向上驱动绝缘薄片1112及1114而旋转。工件138亦可在扫描系统1102在一个方向上驱动绝缘薄片之后旋转一预定旋转角度。在一实例中,所述旋转可围绕工件的中心轴,如由箭头1124所说明。
转向图13,说明与图11一致的扫描系统1102。与图11相比,图13的扫描系统1102包含多个绝缘体1302-1、1302-2、1302-3、…、1302-(n-1)以及1302-n,在其之间界定多个间隙1303-1、1303-2、…、1303-n。扫描系统可相对于工件138驱动所述多个绝缘体1302-1、1302-2、1302-3、…、1302-(n-1)以及1302-n,因此所述多个间隙1303-1、1303-2、…、1303-n经过工件138。
因此,提供一种绝缘修改器以控制等离子体与等离子体鞘的间的边界的形状。越过等离子体鞘自等离子体吸引的微粒的入射角因此可以较大的入射角范围撞击相关联的工件。在一种情况下,入射角范围(θ)可为与+60°与-60°(以约0°为中心)之间一样大。在等离子体掺杂应用中,可更均匀地掺杂工件上的较小三维结构。举例而言,与具有低得多的入射角范围的已知等离子体掺杂装置相比,可更均匀地掺杂沟槽244的侧壁247(见图2)。
本揭示案的范畴不受本文所描述的特定实施例限制。实际上,本领域技术人员自前面的描述以及随附图将明白除本文所述之外的本揭示案的其他各种实施例及修改。因此,此些其他实施例及修改既定属于本揭示案的范畴内。另外,尽管本文已出于特定目的在特定环境中的特定实施方案的上下文中描述了本揭示案,但本领域技术人员将认识到,本揭示案的有用性不限于此,且本揭示案可为任何数目的目的在任何数目的环境下有益地实施。因此,下文所陈述的权利要求应鉴于如本文所述的本揭示案的完整广度及精神而解释。

Claims (17)

1.一种等离子体处理装置,包括:
处理腔室;
压板,定位于所述处理腔室中,用于支撑工件;
源,经配置以在所述处理腔室中产生等离子体,所述等离子体具有邻近于所述工件的前表面的等离子体鞘;以及
绝缘修改器,经配置以控制所述等离子体与所述等离子体鞘之间的边界的形状,使得所述边界的所述形状的一部分不平行于由所述工件的面向所述等离子体的前表面界定的平面,其中所述等离子体与所述等离子体鞘之间的所述边界相对于由所述工件的前表面所界定的平面具有凸形状,
其中所述绝缘修改器包括界定至少一间隙的至少一对绝缘体,且围绕所述至少一间隙的所述边界的形状为相对于所述平面的凸形状。
2.根据权利要求1所述的等离子体处理装置,其中所述一对绝缘体包括一对绝缘薄片。
3.根据权利要求1所述的等离子体处理装置,更包括致动器,所述致动器机械耦接至所述一对绝缘体中的至少一绝缘体,以调整所述间隙的水平间距。
4.根据权利要求1所述的等离子体处理装置,更包括致动器,所述致动器机械耦接至所述一对绝缘体,以调整所述一对绝缘体与由所述工件的面向所述等离子体的所述前表面界定的所述平面之间的垂直间距。
5.根据权利要求1所述的等离子体处理装置,其中所述一对绝缘体由石英制造。
6.根据权利要求1所述的等离子体处理装置,更包括扫描系统,所述扫描系统经配置以相对于所述工件移动所述一对绝缘体。
7.根据权利要求1所述的等离子体处理装置,更包括偏压源,所述偏压源经配置以加偏压于所述工件,以越过所述等离子体鞘自所述等离子体朝所述工件吸引离子,用于掺杂所述工件,其中所述离子相对于所述平面的入射角范围受所述等离子体与所述等离子体鞘之间的所述边界的所述形状影响。
8.根据权利要求7所述的等离子体处理装置,其中所述边界的所述形状为相对于所述平面的凸形状,因此越过所述等离子体鞘自所述等离子体吸引的所述离子具有至少一些彼此交叉的离子轨迹。
9.根据权利要求7所述的等离子体处理装置,其中所述入射角范围以0°为中心在正60°与负60°之间。
10.一种等离子体处理方法,包括:
将工件定位在处理腔室中;
在所述处理腔室中产生等离子体,所述等离子体具有邻近于所述工件的前表面的等离子体鞘;以及
用绝缘修改器修改所述等离子体与所述等离子体鞘之间的边界的形状,使得所述边界的所述形状的一部分不平行于由所述工件的面向所述等离子体的所述前表面界定的平面,其中所述等离子体与所述等离子体鞘之间的所述边界相对于由所述工件的前表面所界定的平面具有凸形状,
其中所述绝缘修改器包括界定至少一间隙的至少一对绝缘体,且围绕所述至少一间隙的所述边界的形状为相对于所述平面的凸形状。
11.根据权利要求10所述的等离子体处理方法,更包括调整所述间隙的水平间距。
12.根据权利要求10所述的等离子体处理方法,更包括调整所述一对绝缘体与由所述工件的面向所述等离子体的所述前表面界定的所述平面之间的垂直间距。
13.根据权利要求10所述的等离子体处理方法,更包括使所述工件相对于所述绝缘修改器旋转。
14.根据权利要求10所述的等离子体处理方法,其中所述等离子体与所述等离子体鞘之间的所述边界的所述形状的一部分为相对于所述平面的凸形状。
15.根据权利要求10所述的等离子体处理方法,更包括越过所述等离子体鞘自所述等离子体朝所述工件吸引离子,用于掺杂所述工件,其中所述离子相对于所述平面的入射角范围受所述等离子体与所述等离子体鞘之间的所述边界的所述形状影响。
16.根据权利要求15所述的等离子体处理方法,其中所述入射角范围以0°为中心在正60°与负60°之间。
17.根据权利要求15所述的等离子体处理方法,其中所述等离子体与所述等离子体鞘之间的所述边界的所述形状为相对于所述平面的凸形状,因此越过所述等离子体鞘自所述等离子体吸引的所述离子具有至少一些彼此交叉的离子轨迹。
CN201080019703.9A 2009-04-03 2010-04-01 等离子体处理装置与方法 Active CN102422722B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus
US12/418,120 2009-04-03
PCT/US2010/029559 WO2010114961A2 (en) 2009-04-03 2010-04-01 Plasma processing apparatus

Publications (2)

Publication Number Publication Date
CN102422722A CN102422722A (zh) 2012-04-18
CN102422722B true CN102422722B (zh) 2014-10-08

Family

ID=42826547

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080019703.9A Active CN102422722B (zh) 2009-04-03 2010-04-01 等离子体处理装置与方法

Country Status (5)

Country Link
US (1) US8623171B2 (zh)
JP (1) JP2012523120A (zh)
KR (1) KR20110134493A (zh)
CN (1) CN102422722B (zh)
WO (1) WO2010114961A2 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767977B1 (en) * 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8461030B2 (en) 2009-11-17 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for controllably implanting workpieces
US8187979B2 (en) 2009-12-23 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Workpiece patterning with plasma sheath modulation
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US9620338B2 (en) * 2010-03-16 2017-04-11 Mizuho Information & Research Institute, Inc. System, method, and program for predicting processing shape by plasma process
US8592230B2 (en) 2010-04-22 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Method for patterning a substrate using ion assisted selective depostion
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8354655B2 (en) 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
US8778465B2 (en) * 2011-05-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Ion-assisted direct growth of porous materials
FR2976400B1 (fr) * 2011-06-09 2013-12-20 Ion Beam Services Machine d'implantation ionique en mode immersion plasma pour procede basse pression.
US8461558B2 (en) 2011-07-01 2013-06-11 Varian Semiconductor Equipment Associates, Inc. System and method for ion implantation with dual purpose mask
US8288741B1 (en) * 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US9136096B2 (en) 2012-07-27 2015-09-15 Varian Semiconductor Equipment Associates, Inc. Three dimensional metal deposition technique
US9812366B2 (en) * 2014-08-15 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of tuning work function for a semiconductor device
US10636655B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for asymmetric deposition of metal on high aspect ratio nanostructures
US10879055B2 (en) * 2018-07-17 2020-12-29 Varian Semiconductor Equipment Associates, Inc. Techniques, system and apparatus for selective deposition of a layer using angled ions
US10468226B1 (en) * 2018-09-21 2019-11-05 Varian Semiconductor Equipment Associates, Inc. Extraction apparatus and system for high throughput ion beam processing
US10629752B1 (en) 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1550027A (zh) * 2001-06-29 2004-11-24 ��ķ�о����޹�˾ 可控制等离子体容积的蚀刻室
CN101167155A (zh) * 2005-04-25 2008-04-23 瓦里安半导体设备公司 倾斜等离子掺杂
CN101236892A (zh) * 2007-01-30 2008-08-06 三星电子株式会社 具有等离子壳层控制器的离子束设备

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
JPH08138595A (ja) 1994-11-10 1996-05-31 Nissin Electric Co Ltd イオン源
JPH1116858A (ja) * 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR100281241B1 (ko) 1998-11-19 2001-06-01 하대규 파라데이 상자의 윗면의 격자면을 변화시켜 플라즈마 식각을하는 방법
US6512333B2 (en) * 1999-05-20 2003-01-28 Lee Chen RF-powered plasma accelerator/homogenizer
SG126681A1 (en) * 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
JP3713683B2 (ja) * 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
JP4411581B2 (ja) * 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
JP2006054334A (ja) 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
US7687787B2 (en) * 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
JP2006278006A (ja) 2005-03-28 2006-10-12 Japan Atomic Energy Agency イオン源引き出し領域におけるプラズマ境界面制御方法及びそのイオン源
JP4882456B2 (ja) 2006-03-31 2012-02-22 株式会社Ihi イオン注入装置
US7498592B2 (en) * 2006-06-28 2009-03-03 Wisconsin Alumni Research Foundation Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US7867409B2 (en) * 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
JP4792007B2 (ja) * 2007-06-12 2011-10-12 株式会社東芝 情報記録再生装置
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US20100011291A1 (en) 2008-07-10 2010-01-14 Nokia Corporation User interface, device and method for a physically flexible device
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8188445B2 (en) * 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
US7767977B1 (en) * 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1550027A (zh) * 2001-06-29 2004-11-24 ��ķ�о����޹�˾ 可控制等离子体容积的蚀刻室
CN101167155A (zh) * 2005-04-25 2008-04-23 瓦里安半导体设备公司 倾斜等离子掺杂
CN101236892A (zh) * 2007-01-30 2008-08-06 三星电子株式会社 具有等离子壳层控制器的离子束设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2006-54334A 2006.02.23

Also Published As

Publication number Publication date
JP2012523120A (ja) 2012-09-27
US20100255683A1 (en) 2010-10-07
KR20110134493A (ko) 2011-12-14
WO2010114961A2 (en) 2010-10-07
WO2010114961A3 (en) 2011-02-10
CN102422722A (zh) 2012-04-18
US8623171B2 (en) 2014-01-07

Similar Documents

Publication Publication Date Title
CN102422722B (zh) 等离子体处理装置与方法
CN102428762B (zh) 等离子体处理装置
CN102422389B (zh) 使用等离子体鞘工程的加化蚀刻与沉积剖面控制
US9620335B2 (en) In situ control of ion angular distribution in a processing apparatus
US7767977B1 (en) Ion source
CN104508174B (zh) 等离子体处理设备与溅镀系统
TWI520660B (zh) 保形沈積的方法以及在工件中蝕刻三維特徵的方法
TWI470663B (zh) 離子源

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant