KR101811790B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101811790B1
KR101811790B1 KR1020177003811A KR20177003811A KR101811790B1 KR 101811790 B1 KR101811790 B1 KR 101811790B1 KR 1020177003811 A KR1020177003811 A KR 1020177003811A KR 20177003811 A KR20177003811 A KR 20177003811A KR 101811790 B1 KR101811790 B1 KR 101811790B1
Authority
KR
South Korea
Prior art keywords
workpiece
gap
insulator
plasma
insulators
Prior art date
Application number
KR1020177003811A
Other languages
English (en)
Other versions
KR20170019483A (ko
Inventor
루도빅 고뎃
티모시 제이. 밀러
스베틀라나 라도바노브
안소니 레나우
비크람 싱
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/418,120 external-priority patent/US8623171B2/en
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20170019483A publication Critical patent/KR20170019483A/ko
Application granted granted Critical
Publication of KR101811790B1 publication Critical patent/KR101811790B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Combustion & Propulsion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 처리 장치는 공정 챔버, 워크피스를 지지하기 위한 플레이튼, 상기 공정 챔버 내에서 플라즈마를 생성하도록 구성된 소스, 및 절연 조정기를 포함한다. 상기 절연 조정기는 갭, 및 갭 평면을 갖고, 상기 갭 평면은 상기 쉬스에 가장 가깝고 상기 갭에 근접한 상기 절연 조정기의 일부분들에 의해 정의된다. 갭 각도는 상기 갭 평면과 상기 워크피스의 전면에 의해 정의된 평면 사이의 각도로서 정의된다. 또한, 워크피스에 이온들을 충돌시키는 방법이 개시되고, 상기 워크피스에 충돌하는 이온들의 입사 각도들의 범위는 중심 각도와 각도 분포를 갖고, 상기 절연 조정기의 사용은 상기 워크피스에 직교하지 않는 중심 각도를 생성시킨다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 플라즈마 처리에 관한 것이다. 보다 상세하게는, 본 발명은 플라즈마 처리 장치에 관한 것이다.
플라즈마 처리 장치는 공정 챔버 내의 플레이튼에 지지되는 워크피스(workpiece)를 처리하기 위한 플라즈마를 생성한다. 플라즈마 처리 장치는 도핑 시스템들, 에칭 시스템들, 및 증착 시스템들을 포함할 수 있지만, 이에 제한되는 것은 아니다. 플라즈마는 일반적으로 이온들(일반적으로 양전하를 가짐)과 전자들(음전하를 가짐)의 준중성의 집단(quasi-neutral collection)이다. 플라즈마는 대부분 센티미터당 0 볼트의 전기장을 갖는다. 일부의 플라즈마 처리 장치에 있어서, 플라즈마로부터의 이온들은 워크피스를 향하여 끌어당겨진다. 플라즈마 도핑 장치에 있어서, 이온들은 충분한 에너지를 가지고 끌어당겨져서, 예를 들면, 반도체 기판과 같은 워크피스의 물리적 구조물 내부로 주입될 수 있다.
플라즈마는 일반적으로 플라즈마 쉬스(plasma sheath)라 불리는 워크피스에 인접한 영역과 접한다. 플라즈마 쉬스는 플라즈마보다 더 적은 전자들을 갖는 영역이다. 이러한 플라즈마 쉬스로부터의 광 방출은 더 적은 전자들이 존재하므로 플라즈마보다 더 약한 강도를 가지며 이에 따라 여기-이완(excitation-relaxation) 충돌들이 거의 일어나지 않는다. 따라서, 플라즈마 쉬스는 때때로 "어두운 공간(dark space)"이라 불리기도 한다.
도 1을 참조하면, 알려진 플라즈마 처리 장치의 부분들을 나타내는 단면도가 도시되어 있으며 플라즈마(140)는 처리될 워크피스(138)의 표면에 인접한 플라즈마 쉬스(142)를 갖는다. 워크피스(138)의 표면은 평면(151)을 정의하고, 플라즈마(140) 및 플라즈마 쉬스(142)는 평면(151)에 평행하다. 플라즈마(140)로부터의 이온들(102)은 플라즈마 쉬스(142)를 가로질러 워크피스(138)를 향해 끌어당겨질 수 있다. 따라서, 워크피스(138)를 향하여 가속되는 이온들(102)은 평면(151)에 대하여 약 0°의 입사각으로(예를 들면, 평면(151)에 직교하여) 워크피스(138)에 충돌한다. 입사각 약 3° 이하의 작은 각도 퍼짐(angular spread)이 있을 수 있다. 또한, 공정 챔버 내부의 가스 압력과 같은 플라즈마 공정 파라미터들을 제어함으로써, 상기 각도 퍼짐은 약 5°까지 증가될 수 있다.
종래의 플라즈마 처리의 단점은 이온들(102)의 각도 퍼짐 제어가 어렵다는 점이다. 워크피스 상의 구조물들은 더 작고 삼차원 구조물들이 더욱 일반화되어 가고 있기 때문에(예를 들면, 트렌치 커패시터들, FinFETs과 같은 수직 채널 트랜지스터들), 더 큰 각도 제어를 갖는 것이 바람직하다. 예를 들면, 명확한 도시를 위하여 과장된 크기를 갖는 트렌치(144)가 도 1에 도시되어 있다. 이온들(102)이 약 0°의 입사각 또는 5°까지의 평탄한 각도 퍼짐으로 이동될 때, 트렌치(144)의 측벽들(147)을 균일하게 처리하는 것은 어려울 수 있다.
따라서, 상술한 불충분함과 결점들을 극복할 수 있는 플라즈마 처리 장치에 대한 요구가 존재한다.
본 발명의 일 측면에 따르면, 플라즈마 처리 장치가 제공된다. 상기 플라즈마 처리 장치는 공정 챔버, 워크피스를 지지하기 위한 플레이튼, 공정 챔버 내에서 플라즈마를 생성하도록 구성된 소스, 및 절연 조정기를 포함한다. 상기 절연 조정기는 갭 및 갭 평면을 가지며, 상기 갭 평면은 상기 쉬스에 가장 가깝고 상기 갭에 근접한 상기 절연 조정기의 일부분들에 의해 정의된다. 갭 각도는 상기 갭 평면과 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면 사이의 각도로서 정의된다. 상기 절연 조정기를 사용하여 생성된 상기 갭 각도는 0이 아니다.
본 발명의 다른 측면에 따르면, 방법이 제공된다. 상기 방법은 플라즈마 쉬스를 갖는 플라즈마를 생성시키는 단계, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상을 절연 조정기로 변경하는 단계, 및 상기 플라즈마로부터 상기 플라즈마 쉬스를 가로질러 이온들을 가속시키는 단계를 포함한다. 워크피스 평면에 대한 상기 이온들의 입사 각도들의 범위는 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상에 의존한다. 상기 이온들의 상기 입사 각도들의 범위는 중심 각도 및 각도 분포를 포함하고, 상기 절연 조정기의 사용은 상기 워크피스에 직교하지 않는 중심 각도를 생성시킨다.
본 발명의 상기의 측면들 및 다른 측면들과 이점들은 수반하는 도면들과 함께 하기의 본 발명의 상세한 설명으로부터 더욱 완전히 이해될 수 있을 것이다.
도 1은 종래의 플라즈마 처리 장치를 나타내는 간략화된 블록도이다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 처리 장치를 나타내는 블록도이다.
도 3은 본 발명의 일 실시에에 따른 플라즈마 도핑 장치를 나타내는 블록도이다.
도 4는 플라즈마와 플라즈마 쉬스 사이의 경계의 형상을 제어하는 한 쌍의 절연체들을 나타내는 단면도이다.
도 5는 도 4의 경계를 지나 가속되는 이온들의 이온 궤적들을 나타내는 도 4와 상응하는 단면도이다.
도 6은 도 5의 이온 궤적들의 각도 이온 분포들을 나타내는 플롯이다.
도 7은 한 쌍의 절연체들과 워크피스 사이의 수직 간격을 제어하는 시스템을 나타내는 블록도이다.
도 8은 다른 수직 간격에서의 이온 궤적들을 나타내는 도 7과 상응하는 단면도이다.
도 9는 한 쌍의 절연체들 사이의 수평 간격을 제어하는 시스템을 나타내는 블록도이다.
도 10은 다른 수평 간격에서의 이온 궤적들을 나타내는 도 9와 상응하는 단면도이다.
도 11은 워크피스에 대하여 한 쌍의 절연 시트들을 이동시키는 스캐닝 시스템을 갖는 플라즈마 처리 장치를 나타내는 블록도이다.
도 12는 절연 시트들과 디스크 형상의 워크피스 사이의 상대 운동을 나타내는 도 11의 절연 시트들의 평면도이다.
도 13은 다수개의 절연체들을 갖는 도 11과 상응하는 스캐닝 시스템을 나타내는 블록도이다.
도 14는 본 발명의 제2 실시예에 따른 플라즈마 처리 장치를 나타내는 블록도이다.
도 15는 플라즈마와 플라즈마 쉬스 사이의 경계의 형상을 제어하는 데 사용되는 두개의 절연체들을 나타내는 단면도이다.
도 16은 도 15의 이온 궤적들의 각도 이온 분포들을 나타내는 플롯이다.
도 17은 한 세트의 절연체들과 워크피스 사이의 수직 간격을 제어하는 시스템을 나타내는 블록도이다.
도 18은 제1 수직 간격에서의 이온 궤적들을 나타내는 도 17과 상응하는 단면도이다.
도 19는 제2 수직 간격에서의 이온 궤적들을 나타내는 도 17과 상응하는 단면도이다.
도 20은 절연체들 사이에서 수평 간격을 제어하는 시스템을 나타내는 블록도이다.
도 21은 음의 수평 간격에서의 이온 궤적들을 나타내는 도 20과 상응하는 단면도이다.
도 22는 플라즈마와 플라즈마 쉬스 사이의 경계의 형상을 제어하는 데 사용되는 세 개의 절연체들을 나타내는 단면도이다.
도 23은 도 22의 이온 궤적들의 각도 이온 분포들을 나타내는 플롯이다.
도 24a-c는 절연 조정기의 추가적인 실시예들을 나타내는 단면도들이다.
도 25는 워크피스에 대하여 절연 시트들을 상대적으로 이동시키는 스캐닝 시스템을 갖는 플라즈마 처리 장치를 나타내는 블록도이다.
도 26은 절연 시트들과 디스크 형상의 워크피스 사이의 상대 운동을 나타내는 도 25의 절연 시트들의 평면도이다.
도 27은 다수개의 절연체들을 갖는 도 25와 상응하는 스캐닝 시스템을 나타내는 블록도이다.
도 2는 본 발명의 일 실시예에 따른 절연 조정기(insulating modifier)(208)를 갖는 플라즈마 처리 장치(200)의 블록도이다. 절연 조정기(208)는 플라즈마 쉬스(242) 내부의 전기장을 변경하도록 구성되어 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)의 형상을 제어한다. 따라서, 플라즈마(140)로부터 끌어당겨져 플라즈마 쉬스(242)를 지나는 이온들(102)은 더 큰 범위의 입사각들을 가지고 워크피스(138)에 충돌할 수 있다.
플라즈마 처리 장치(200)는 여기서는 플라즈마 도핑 장치로서 설명될 수 있다. 그러나, 플라즈마 처리 장치(200)는 또한 에칭 및 증착 시스템들을 포함할 수 있으며, 이에 제한되지는 않는다. 더욱이, 플라즈마 도핑 시스템은 처리되는 워크피스 상에서 다른 많은 물질 변경 처리들을 수행할 수 있다. 상기 하나의 처리는 반도체 기판과 같은 워크피스를 원하는 도펀트(dopant)로 도핑하는 단계를 포함할 수 있다.
플라즈마 처리 장치(200)는 공정 챔버(202), 플레이튼(134), 소스(206), 및 절연 조정기(208)를 포함할 수 있다. 플레이튼(134)은 워크피스(138)를 지지하도록 공정 챔버(202) 내에 위치된다. 워크피스는 반도체 웨이퍼, 평판 패널, 솔라 패널 및 폴리머 기판을 포함할 수 있지만, 이에 제한되지는 않는다. 일 실시예에 있어서 상기 반도체 웨이퍼는 300mm 직경의 디스크 형상을 가질 수 있다. 소스(206)는 당해 기술분야에 알려진 바와 같이 공정 챔버(202)에서 플라즈마(140)를 생성하도록 구성된다. 도 2의 실시예에 있어서, 절연 조정기(208)는 수평 간격(horizontal spacing)(G)을 갖도록 갭을 정의하는 한 쌍의 절연체들(212, 214)을 포함한다. 다른 실시예들에 있어서, 상기 절연 조정기는 단지 하나의 절연체를 포함할 수 있다. 한 쌍의 절연체들(212, 214)은 얇고, 평탄한 형상을 갖는 한 쌍의 시트들(sheets)일 수 있다. 다른 실시예들에 있어서, 한 쌍의 절연체들은 튜브 형상, 쐐기 형상과 같은 다른 형상들일 수 있고/있거나, 상기 갭 근처에 경사진 모서리를 가질 수 있다.
일 실시예에 있어서, 한 쌍의 절연체들(212, 214)에 의해 정의된 갭의 수평 간격은 약 6.0mm일 수 있다. 한 쌍의 절연체들(212, 214)은 또한 워크피스(138)의 상부 표면에 의해 정의된 평면(151) 상부의 수직 간격(vertical spacing)(Z)에 위치될 수 있다. 일 실시예에 있어서, 수직 간격(Z)은 약 3.0mm일 수 있다.
동작에 있어서, 가스 소스(288)는 공정 챔버(202)에 이온화 가능한 가스를 공급한다. 이온화 가능한 가스의 예로서는, BF3, BI3, N2, Ar, PH3, AsH3, B2H6, H2, Xe, Kr, Ne, He, SiH4, SiF4, GeF4, CH4, AsF5, PF3, 및 PF5를 들 수 있으나, 이에 제한되지는 않는다. 소스(206)는 공정 챔버(202)에 제공되는 가스를 여기시키고 이온화시킴으로써 플라즈마(140)를 발생시킬 수 있다. 이온들은 다른 메커니즘들에 의해 플라즈마(140)로부터 플라즈마 쉬스(242)를 지나 끌어당겨질 수 있다. 도 2의 실시예에 있어서, 바이어스 소스(290)는 워크피스(138)를 바이어스(bias)하여 이온들(102)을 플라즈마(140)로부터 플라즈마 쉬스(242)를 지나도록 끌어당긴다. 바이어스 소스(290)는 DC 전압 바이어스 신호를 제공하는 DC 파워 서플라이 또는 RF 바이어스 신호를 제공하는 RF 파워 서플라이일 수 있다.
바람직하게는, 절연 조정기(208)는 플라즈마 쉬스(242) 내부의 전기장을 조정하여 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)의 형상을 제어한다. 도 2의 실시예에 있어서, 절연 조정기(208)는 한 쌍의 절연체들(212, 214)을 포함한다. 절연체들(212, 214)은 석영, 알루미나, 질화붕소, 유리, 질화규소 등으로 제조될 수 있다. 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)는 평면(151)에 대하여 볼록한 형상을 가질 수 있다. 바이어스 소스(290)가 워크피스(138)를 바이어스할 때, 이온들(102)은 절연체들(212, 214) 사이의 갭을 통해 플라즈마 쉬스(242)를 지나 큰 범위의 입사각들로 끌어당겨진다. 예를 들면, 궤도 통로(271)를 따르는 이온들은 평면(151)에 대하여 +θ°의 각도로 워크피스(138)에 충돌할 수 있다. 궤도 통로(270)를 따르는 이온들은 동일한 평면(151)에 대하여 0°의 각도로 워크피스(138)에 충돌할 수 있다. 궤도 통로(269)를 따르는 이온들은 평면(151)에 대하여 -θ°의 각도로 워크피스(138)를 충돌할 수 있다. 따라서, 입사 각도들의 범위는 0°를 중심으로 하여 +θ°와 -θ° 사이일 수 있다. 또한, 궤도 통로(269) 및 궤도 통로(271)와 같은 일부 이온 궤도 통로들은 서로 교차할 수 있다. 이에 제한되지는 않지만, 절연체들(212, 214) 사이의 수평 간격(G), 평면(151) 상부의 상기 절연체들의 수직 간격(Z), 절연체들(212, 214)의 유전 상수, 및 다른 플라즈마 처리 파라미터들을 포함한 수많은 요인들에 따라, 입사 각도들(θ)의 범위는 0°를 중심으로 하여 +60°와 -60° 사이일 수 있다. 이에 따라, 워크피스(138) 상의 작은 삼차원 구조물들은 이온들(102)에 의해 균일하게 처리될 수 있다. 예를 들면, 명확한 도시를 위하여 과장된 크기를 갖는 트렌치(244)의 측벽들(247)은 도 1에 비교하여 이온들(102)에 의해 더욱 균일하게 처리될 수 있다.
도 3을 참조하면, 일 실시예에 따른 플라즈마 도핑 장치(300)의 블록도가 도시되어 있다. 플라즈마 도핑 장치(300)는, 도 2의 장치와 일치하는, 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)의 형상을 제어하는 한 쌍의 절연체들(212, 214)를 갖는다.
플라즈마 도핑 장치(300)는 둘러싸인 체적(303)을 정의하는 공정 챔버(202)를 포함한다. 가스 소스(304)는 질량 흐름 제어기(306)를 통해 공정 챔버(202)의 둘러싸인 체적(303)에 주된 도펀트 가스를 제공한다. 가스 배블(baffle)(370)은 공정 챔버(202)에 위치하여 가스 소스(304)로부터 가스의 흐름을 편향시킬 수 있다. 압력 게이지(308)는 공정 챔버(202) 내부의 압력을 측정한다. 진공 펌프(312)는 배기 포트(310)를 통해 공정 챔버(202)로부터 배기가스들을 배출시킨다. 배기 밸브(314)는 배기 포트(310)를 통해 배기 컨덕턴스를 조절한다.
플라즈마 도핑 장치(300)는 질량 흐름 제어기(306), 압력 게이지(308), 및 배기 밸브(314)에 전기적으로 연결된 가스 압력 제어기(316)를 더 포함할 수 있다. 가스 압력 제어기(316)는 압력 게이지(308)에 응답하는 피드백 루프에서 배기 밸브(314)에서의 배기 컨덕턴스 또는 질량 흐름 제어기(306)에서의 공정 가스 유속을 제어함으로써 공정 챔버(202)에서 원하는 압력을 유지하도록 구성될 수 있다.
공정 챔버(202)는 일반적으로 수평 방향으로 연장하며 유전 물질로 형성된 제1 섹션(320)을 포함하는 챔버 상부(318)를 포함할 수 있다. 또한 챔버 상부(318)는 제1 섹션(320)으로부터 일반적으로 수직 방향으로 연장하며 유전 물질로 형성된 제2 섹션(322)을 포함할 수 있다. 챔버 상부(318)는 수평 방향으로 제2 섹션(322)을 가로지르도록 연장하는 전기적 및 열적 도전성 물질로 이루어진 덮게(324)를 더 포함할 수 있다.
플라즈마 도핑 장치는 또한 공정 챔버(202) 내부에 플라즈마(140)를 생성시키도록 구성된 소스(301)를 포함한다. 소스(301)는 평면형 안테나(326)와 나선형 안테나(346) 모두 또는 어느 하나에 RF 파워를 공급하여 플라즈마(140)를 생성하는 파워 서플라이와 같은 RF 소스(350)를 포함할 수 있다. RF 소스(350)는 RF 소스(350)로부터 평면형 안테나(326) 및 나선형 안테나(346)로 전달되는 파워를 최대화시키기 위하여 RF 소스(350)의 출력 임피던스를 평면형 안테나(326) 및 나선형 안테나(346)의 임피던스에 매칭시키는 임피던스 매칭 네트워크(352)에 의해 평면형 안테나(326) 및 나선형 안테나(346)에 연결될 수 있다.
상기 플라즈마 도핑 장치는 또한 플레이튼(134)에 전기적으로 연결되는 바이어스 파워 서플라이(390)를 포함할 수 있다. 상기 플라즈마 도핑 시스템은 또한 제어기(356) 및 사용자 인터페이스 시스템(358)을 포함할 수 있다. 제어기(356)는 원하는 입력/출력 기능들을 수행하도록 프로그램될 수 있는 범용 컴퓨터 또는 범용 컴퓨터들의 네트워크이거나 이를 포함할 수 있다. 제어기(356)는 또한 통신 장치들, 데이터 저장 장치들, 및 소프트웨어를 포함할 수 있다. 사용자 인터페이스 시스템(358)은 터치 스크린들, 키보드들, 사용자 포인팅 장치들, 디스플레이들, 프린터들 등을 포함하여 사용자가 커맨드들 및/또는 데이터를 입력하도록 하고/거나 제어기(356)를 통해 상기 플라즈마 도핑 장치를 모니터할 수 있다. 쉴드 링(394)은 플레이튼(134) 둘레에 배치되어 워크피스(138)의 모서리 근처에서 주입된 이온 분포의 균일성을 개선시킬 수 있다. 패러데이 컵(399)과 같은 하나 또는 그 이상의 패러데이 센서들은 쉴드 링(394)에 위치하여 이온 빔 전류를 센싱할 수 있다.
동작에 있어서, 가스 소스(304)는 워크피스(138) 내로의 주입을 위한 원하는 도펀트를 포함하는 주된 도펀트 가스를 공급한다. 소스(301)는 공정 챔버(302) 내부에서 플라즈마(140)를 생성시키도록 구성될 수 있다. 소스(301)는 제어기(356)에 의해 제어될 수 있다. 플라즈마(140)를 발생시키기 위하여, RF 소스(350)는 적어도 하나의 RF 안테나들(326, 346)의 RF 전류들을 공진시켜 진동하는 자기장을 생성시킨다. 공진하는 전기장은 진공 챔버(202) 내부로 RF 전류들을 유도시킨다. 공정 챔버(202)에서의 상기 RF 전류들은 상기 주된 도펀트 가스를 여기시키고 이온화시켜 플라즈마(140)를 생성시킨다.
바이어스 파워 서플라이(390)는 펄스 ON 및 OFF 주기들을 갖는 펄스화된 플레이튼 신호들을 제공하여 플레이튼(134) 그리고 나아가 워크피스(138)를 바이어스시켜 플라즈마(140)로부터의 이온들을 플라즈마 쉬스(242)를 지나 워크피스(138)를 향하도록 가속시킨다. 이온들(102)은 양전하의 이온들일 수 있고 상기 펄스화된 플레이튼 신호의 상기 펄스 ON 주기들은 공정 챔버(202)에 대하여 음전압 펄스들일 수 있으므로 양전하의 이온들(102)을 끌어당길 수 있다. 상기 펄스화된 플레이튼 신호의 주기 및/또는 상기 펄스들의 듀티 사이클(duty cycle)은 원하는 조사율(dose rate)을 제공하도록 선택될 수 있다. 상기 펄스화된 플레이튼 신호의 크기는 원하는 에너지를 제공하도록 선택될 수 있다.
바람직하게는, 한 쌍의 절연체들(212, 214)은 도 2에서 상세히 설명한 바와 같이 플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계 형상을 제어한다. 그러므로, 이온들(102)은 플라즈마 쉬스(242)를 지나 절연체들(212, 214) 사이의 갭을 통해 워크피스(138)를 도핑하기 위한 입사 각도들의 큰 범위로 끌어당겨질 수 있다.
도 4를 참조하면, 한 쌍의 절연체들(212, 214)과 워크피스(138)의 부분 단면도가 도시되어 있으며 절연체들(212, 214)에 의해 정의된 갭 근처에서 플라즈마 쉬스(242)에서의 전기장 라인들을 나타내고 있다. 상기 플라즈마와 플라즈마 쉬스(242) 사이의 상기 전기장 라인들 및 이에 따른 아치형의 경계(241)는 워크피스(138)가 -2,000 볼트로 바이어스되고 절연체들(212, 214)이 유리로 제조된 경우의 컴퓨터 시뮬레이션 결과이었다. 도시된 바와 같이, 상기 갭 근처의 아치형의 경계(241)는 평면(151)에 대하여 볼록한 형상을 가질 수 있다.
도 5는 절연체들(212, 214) 사이의 갭을 통해 플라즈마 쉬스(242)를 지나 가속되는 시뮬레이션된 이온 궤적들을 나타내는 도 4와 상응하는 단면도이다. 플라즈마 도핑 장치에 있어서, 상기 이온들은 경계(241)의 형상 및 플라즈마 쉬스(242) 내부의 전기장 라인들에 의해 갭 간격의 중심 영역에서 워크피스(138)에 주입될 수 있다. 예를 들면, 절연체들(212, 214) 사이의 전체 수평 간격(G1) 중에서, 이온들은 중앙 수평 간격(G3) 근처에서 워크피스(138)에 충돌한다. 본 실시예에 있어서, 이온들은 절연체들(212, 214) 근처의 주변 수평 간격(G2, G4) 근처에서 워크피스(138)에 충돌하지 않는다.
도 6은 도 5에 도시된 이온 궤적들과 일치하여 워크피스(138)에 충돌하는 이온들의 입사각도들의 분포를 나타내는 플롯(602)이다. 도시된 바와 같이, 플롯(602)은 상기 입사각도들이 약 0°를 중심으로 하고 약 +60°와 -60° 사이의 큰 범위의 각도로 변화됨을 나타낸다. 이러한 입사각도들의 큰 범위는 삼차원 구조물들의 균일한 도핑을 가능하게 할 수 있다. 예를 들면, 트렌치 구조물의 측벽들은 이러한 큰 범위의 입사 각도들을 갖는 이온들로 더욱 균일하게 도핑될 수 있다.
도 7을 참조하면, 본 발명의 다른 실시예가 도시되어 있으며 절연 조정기와 워크피스(138)의 상부 표면에 의해 정의된 평면(151) 사이의 수직 간격(Z)이 조정될 수 있다. 상기 절연 조정기는 다른 실시예들에서 상세히 설명된 바와 같은 한 쌍의 절연체들(212, 214)일 수 있다. 구동기(702)는 한 쌍의 절연체들(212, 214)에 기계적으로 연결되어 평면(151)에 대하여 화살표들(720, 722)에 의해 도시된 바와 같은 수직 방향으로 상기 절연체들을 구동할 수 있다. 평면(151)에 대한 한 쌍의 절연체들(212, 214)의 상기 Z 위치 및 서로에 대한 위치는 상기 플라즈마 및 상기 플라즈마 쉬스 사이의 경계의 형상에 영향을 미치고 또한 워크피스(138)에 충돌하는 이온들의 궤적들에 영향을 미친다. 구동기(702)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 8은 모든 다른 파라미터들은 동일하고 평면(151)에 대하여 한 쌍의 절연체들(212, 214)이 다른 Z 위치들에 있을 때 이온 궤적들을 나타내는 도 7과 상응하는 단면도이다. 비교적 짧은 Z 갭의 제1 위치(820)에서, 절연체들(212, 214)은 평면(151) 상부의 Z 갭 거리(Z1)에 위치한다. 상대적으로 더 긴 Z 갭의 제2 위치(840)에서, 절연체들(212, 214)은 평면(151) 상부의 Z 갭 거리(Z2)에 위치한다. 여기서, (Z2)>(Z1)이다. Z 갭 위치(820)에서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계(841)는 평면(151)에 대하여 볼록한 형상을 갖는다. 경계(841)는 또한 아치형 형상의 정점이 절연체(212)의 상부면으로부터 거리(Za)에 있을 때 원주의 일부의 형상에 가깝게 접근하는 형상을 갖는다. 대조적으로, Z 갭 위치(840)에서 경계(843)는 아치형 형상의 정점이 절연체(212)의 상부면으로부터 더 짧은 거리(Zb)에 있을 때 더 얕은 형상을 갖는다. 여기서, (Zb)<(Za)이다. Z 갭 위치들((Z1),(Z2))과 상기 플라즈마 쉬스에서의 전기장 라인들과 결합된 경계들(841, 843)의 형상은 워크피스(138)에 충돌하는 이온들의 각도 퍼짐에 영향을 미친다. 예를 들면, 비교적 짧은 Z 갭 위치(820)에서 워크피스(138)에 충돌하는 이온들의 각도 퍼짐은 비교적 더 긴 Z 갭 위치에서의 워크피스(138)에 충돌하는 이온들의 각도 퍼짐보다 더 크다. 또한, 이온들은 더 긴 Z 갭 위치에서의 수평 간격(G6)에 비하여 더 짧은 Z 갭 위치(820)에서의 더 넓은 수평 위치(G5)에서 충돌한다. 여기서, (G6)<(G5)이다. 도 8에 도시되지는 않았지만, 각 절연체(212, 214)의 Z 위치들은 서로 다르게 위치하여 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상에 영향을 미칠 수 있으며 따라서 이온들의 각도 퍼짐에 영향을 미칠 수 있다.
도 9를 참조하면, 본 발명의 또 다른 실시예가 도시되어 있으며 절연체들(212, 214) 사이의 수평 간격(G)이 조정될 수 있다. 수평 간격 조정들은 도 8 및 도 9의 수직 간격 조정들을 대신하여 또는 이에 추가적으로 이루어질 수 있다. 구동기(902)는 한 쌍의 절연체들(212, 214) 중 적어도 하나에 기계적으로 연결되어 서로에 대하여 화살표(906) 방향으로 상기 절연체들을 구동시킬 수 있다. 구동기(902)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 10은 모든 다른 파라미터들은 동일하고 절연체들(212, 214) 사이가 다른 수평 갭 간격일 때 이온 궤적들을 나타내는 도 9와 상응하는 단면도이다. 비교적 더 짧은 수평 갭의 제1 위치(1020)에서, 절연체들(212, 214)은 서로 제1 수평 거리(Ga)만큼 떨어져 있다. 상대적으로 더 긴 수평 갭의 제2 위치(1040)에서, 절연체들(212, 214)은 서로부터 제2 수평 거리(Gb)만큼 떨어져 있다. 여기서, (Gb)>(Ga)이다. 수평 갭 위치(1020)에서, 상기 플라즈마와 플라즈마 쉬스 사이의 경계(1041)는 평면(151)에 대하여 볼록한 형상을 갖는다. 경계(1041)는 또한 원주의 일부의 형상에 가깝게 접근하는 형상을 갖는다. 대조적으로, 수평 갭 위치(1040)에서 경계(1043)는 평면(151)에 대하여 볼록한 형상을 가지며 경계(1043)의 중앙 부분은 평면(151)에 대하여 대략적으로 평행하다. 그 결과, 워크피스(138)의 더 큰 중앙 부분이 평면(151)에 대하여 약 0°의 입사각을 갖는 이온들에 의해 충돌된다.
도 11은 워크피스(138)에 대하여 절연 조정기(208)를 상대적으로 구동시키는 스캐닝 시스템(1102)을 갖는 플라즈마 처리 장치(1100)를 나타내는 블록도이다. 도 11의 실시예에 있어서, 절연 조정기(208)는 도 12에 가장 잘 도시되어 있는 한 쌍의 정방형의 절연 시트들(1112, 1114)을 포함한다. 스캐닝 시스템(1102)은 절연 시트들(1112, 1114)에 기계적으로 연결되어 이들을 구동시키는 구동기(1104)를 포함할 수 있다. 구동기(1104)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 12는 정방형의 절연 시트들(1112, 1114)과 디스크 형상의 워크피스(138) 사이의 상대 운동의 예시들을 나타내는 평면도이다. 도 12의 실시예에 있어서, 스캐닝 시스템(1102)은 위치 A, 위치 B, 및 위치 C로부터 정방형의 절연 시트들(1112, 1114)을 구동시켜 워크피스(138)의 모든 부분들이 한 쌍의 정방형의 절연 시트들(1112, 1114) 사이의 갭에 노출되도록 할 수 있다. 직교 좌표계가 도 12에서와 같이 정의된다면, 절연 시트들(1112, 1114)은 도 12의 X 방향으로 구동된다. 다른 실시예들에 있어서, 절연 시트들(1112, 1114) 또는 다른 절연 시트들이 Y 방향 또는 X와 Y 방향 사이의 특정 각도로 구동될 수 있다. 또한, 스캐닝 시스템(1102)이 절연 시트들(1112, 1114)을 일 방향으로 구동시킴에 따라 워크피스(138)는 회전될 수 있다. 또한 스캐닝 시스템(1102)이 상기 절연 시트들을 일 방향으로 회전시킨 후에 워크피스(138)는 기 설정된 회전 각도만큼 회전될 수 있다. 일 예로, 상기 회전은 화살표(1124) 방향으로 상기 워크피스의 중심축에 대하여 이루어질 수 있다.
도 13을 참조하면, 도 11과 상응하는 스캐닝 시스템(1102)이 도시되어 있다. 도 11과 비교하여, 도 13의 스캐닝 시스템(1102)은 다수개의 갭들(1303-1, 1303-2, 1303-3, ... 1303-n)을 정의하는 다수개의 절연체들(1302-1, 1302-2, 1302-3, ... 1302-(n-1), 1302-n)을 포함한다. 상기 스캐닝 시스템은 워크피스(138)에 대하여 다수개의 절연체들(1302-1, 1302-2, 1302-3, ... 1302-(n-1), 1302-n)을 상대적으로 구동시켜 다수개의 갭들(1303-1, 1303-2, 1303-3, ... 1303-n)을 워크피스(138)를 지나간다.
도 14는 도 2의 플라즈마 처리 장치의 제2 실시예를 나타낸다. 상술한 바와 같이, 플라즈마 처리 장치(200)는 공정 챔버(202), 플레이튼(134), 소스(206), 및 절연 조정기(248)를 포함한다. 도 14의 실시예에 있어서, 절연 조정기(248)는 수평 간격(G)을 갖는 갭을 정의하는 절연체들(252, 254)을 포함한다. 다른 실시예들에 있어서, 절연 조정기(248)는 단지 하나의 절연체만을 포함할 수 있다. 다른 실시예들에 있어서, 절연체들(252, 254)에 의해 정의된 상기 갭의 수평 간격은 상기 쉬스 두께 및 원하는 각 분포에 따라, 약 1 내지 60mm일 수 있다.
절연체들(252, 254)은 또한 워크피스(138)의 상부 표면에 정의된 평면(151) 상부에 Z 갭 거리들(Z1, Z2)을 가지고 위치될 수 있다. 일 실시예에 있어서, 더 가까운 Z 갭 거리(Z1)는 약 1 내지 10mm일 수 있다. 실시예들에 있어서, 상기 절연체들 사이의 높이 차이(즉, Z2-Z1)는 상기 쉬스 두께 및 원하는 각 분포에 따라, 0 내지 40mm일 수 있다. 도 14는 절연체(254)보다 더 큰 수직 높이의 절연체(252)를 나타내지만, 필요하다면 절연체(254)기 절연체(252)보다 더 큰 수직 높이를 가질 수 있다.
상기 두 개의 절연체들 사이의 수직 높이의 차이는 평면(151)에 대한 갭 각도를 생성시킨다. 상기 갭 각도는 평면(257)을 생성함으로서 측정되고, 평면(257)은 상기 쉬스에 가장 가깝고 상기 갭에 근접한 절연체(252)의 모서리들과 상기 쉬스에 가장 가깝고 상기 갭에 근접한 절연체(254)의 모서리들을 통과한다. 평면(257)과 평면(151) 사이의 각도는 갭 각도(ψ)를 정의한다. 실시예들에 있어서, 갭 폭(δ)은 수평이 아닌, 평면(257)을 따라 측정된다. 갭 폭(δ)은 다음과 같은 식에 따라 수평 간격(G)과 관련된다.
δ = G/cos(ψ),
여기서, ψ는 갭 각도이다. 갭 폭(δ)은 0 내지 40mm일 수 있다. 실시예들에 있어서, 상기 수평 간격은 0이거나 음수(상기 절연체들이 서로 오버랩될 경우 발생됨)일 수 있다. 0mm 또는 음의 수평 간격과 연결된, Z2-Z1에서의 큰 차이는, 예를 들면, 80°보다 큰, 매우 큰 중심 각도들을 발생시키기 위해 사용될 수 있다.
이하에서 상세히 설명되는 바와 같이, 상기 장치는 이온들의 각도 분포들을 발생시키기 위해 사용될 수 있다. 이러한 각도 분포들은, 도 16 및 도 23에 도시된 바와 같이, 두개의 파라미터들이 특징이다. 첫 번째는 상기 각도 분포의 중심을 형성하는 각도인 중심 각도이다. 상기 중심 각도는 평면(151)의 직각으로부터의 각도 편차로서 정의된다. 바꾸어 말하면, 평면(151)에 대하여 직교하여 충돌하는 이온들은 0°의 중심 각도를 갖는다. 입사 각도가 평면(151)에 평행할수록, 그 값은 증가한다.
도 16에서, 상기 중심 각도는 약 45°에 대응한다. 도 23에서, -45°와 +45°에서 두개의 중심 각도들이 존재한다. 관심의 두 번째 파라미터는 상기 각도 퍼짐, 또는 각도 범위이다. 이것은 상기 중심 각도를 중심으로 한 이온들의 분포이다. 바꾸어 말하면, 모든 이온들은 동일한 각도로 상기 워크피스에 충돌하지 않는다. 이온들은 중심 각도를 중심으로 각도 분포를 갖도록 도착한다. 도 16에서, 각도들의 분포는 대략적으로 35°에서 55°이며; 이에 따라 약 20°의 각도 퍼짐(또는 분포)을 갖는다. 유사하게, 도 23의 각도 퍼짐(또는 분포)은 약 20°이다.
갭 각도(ψ)는 상기 중심 각도를 정의하도록 도움을 준다. 워크피스 평면(151)에 직교하지 않는 중심 각도(즉, 0이 아닌 중심 각도)를 발생시키기 위하여, 갭 각도(ψ)는 0일 아닐 수 있다. 바꾸어 말하면, 0이 아닌 갭 각도(ψ)는 갭 평면(257)이 워크피스 평면(151)에 평행하지 않는 것을 의미한다. 0이 아닌 갭 각도(ψ)를 가짐으로써, 상기 중심 각도는 워크피스 평면(151)에 직교하지 않도록 변경된다. 더 큰 갭 각도들(예를 들면, >30°)은 일반적으로 중심 각도(예를 들면, 30°)에서 더 큰 편차들을 발생시킨다. 작은 갭 각도들(예를 들면, 갭 평면(257)과 워크피스 평면(151)이 거의 평행할 때)은 더 작은 중심 각도들(예를 들면, <10°)을 생성시킨다.
플라즈마(140)와 플라즈마 쉬스(242) 사이의 경계(241)는 평면(151)에 대하여 불규칙한 형상을 가질 수 있다. 바이어스 소스(290)가 워크피스(138)에 바이어스를 인가할 때, 이온들(102)은 절연체들(252, 254) 사이의 갭을 통해 플라즈마 쉬스(242)를 지나 중심 각도들의 큰 범위로 끌어당겨진다. 예를 들면, 이온들은 평면(151)에 대하여 +θ°의 0이 아닌 중심 각도로 워크피스(138)에 충돌할 수 있다. 상기 절연체들의 수직 간격이 반대로 되면, 이온들은 평면(151)에 대하여 -θ°의 0이 아닌 중심 각도로 워크피스(138)에 충돌할 수 있다. 이에 제한되지는 않지만, 절연체들(252, 254) 사이의 수평 간격(G), 평면(151) 상부의 상기 절연체들의 Z 갭 거리들(Z1, Z2), 갭 폭(δ), 갭 각도(ψ), 수직 간격의 차이(Z2-Z1), 절연체들(252, 254)의 유전 상수, 절연체들(252, 254)의 유전 두께, 및 다른 플라즈마 공정 파라미터들을 포함한 많은 요인들에 의존하여, 입사 각도들(θ)의 범위와 중심이 변경될 수 있다. 예를 들면, 상기 각도 분포는 +5도와 -5도 사이일 수 있는 반면, 상기 중심 각도는 -80°와 +80° 사이일 수 있다. 다른 실시예들에 있어서, 상기 각도 분포는 더 클 수 있다(또는 더 작을 수 있다). 유사하게, 상기 중심 각도는 다른 값들을 갖도록 변경될 수 있다. 따라서, 워크피스(138) 상에 작은 삼차원 구조물들은 이온들(102)에 의해 균일하게 처리될 수 있다.
도 15는 절연체들(252, 254) 사이의 갭을 통해 플라즈마 쉬스(242)를 지나 가속되는 시뮬레이션된 이온 궤적들을 나타내는 단면도이다. 플라즈마 도핑 장치에 있어서, 상기 이온들은 경계(241)의 형상과 플라즈마 쉬스(242) 내부의 전기장 라인들에 의해 갭 간격의 중심 영역에서 워크피스(138)에 주입될 수 있다. 예를 들면, 두개의 절연체들(252, 254) 사이의 수직 간격의 차이 때문에, 이온들은 간격(G7)에서 0이 아닌 각도로 상기 워크피스에 충돌한다. 또한, 본 실시예에 있어서, 이온들은 간격(G7) 외부에서 상기 절연체들에 근접한 상기 워크피스에는 거의 충돌하지 않는다.
도 16은 도 15에 도시된 이온 궤적들에 상응하도록 워크피스(138)에 충돌하는 이온들의 입사 각도들의 분포를 나타내는 플롯(603)이다. 도시된 바와 같이, 플롯(603)은 상기 입사 각도들이 약 45도의 0이 아닌 중심 각도를 중심으로 약 20도의 각도 분포를 갖고 있음을 나타낸다. 다른 실시예들에 있어서, 상기 중심 각도는 -80도와 +80도 사이에서 변경되고 상기 중심 각도를 중심으로 한 각도 분포는 약 +20에서 -20도 사이에서 변경될 수 있다. 이러한 입사 각도들의 범위는 삼차원 구조물들의 균일한 도핑을 가능하게 할 수 있다.
갭 폭(δ), 상기 절연체들 사이의 간격(Z2-Z1) 및 상기 워크피스에 대한 상기 절연체들의 위치를 변경시킴으로써, 상기 중심 각도와 각도 분포는, 이에 제한되지는 않지만, 작은 각도 분포들(예를 들면, <5°)을 갖는 큰 중심 각도들(예를 들면, >60°), 큰 각도 분포들(예를 들면, >10°)을 갖는 큰 중심 각도들(예를 들면, >60°), 큰 각도 분포들(예를 들면, >10°)을 갖는 작은 중심 각도들(예를 들면, <40°), 및 작은 각도 분포들(< 5°)을 갖는 작은 중심 각도들(<40°)을 갖도록 변경될 수 있다.
도 17을 참조하면, 절연 조정기와 워크피스(138)의 전면에 의해 정의된 평면(151) 사이의 Z 갭 거리들(Z1, Z2)이 조정된 본 발명의 또 다른 실시예를 나타내는 블록도이다. 상기 절연 조정기는 다른 실시예들에서 설명된 바와 같은 절연체들(252, 254)일 수 있다. 구동기들(107a,b)은 절연체들(252, 254)에 기계적으로 연결되어, 평면(151)에 대하여 화살표들(730, 732) 방향에 나타난 바와 같이 수직 방향으로 상기 절연체들을 각각 구동시킬 수 있다. 평면(151)에 대한 절연체들(252, 254)의 Z 위치들, 그리고 서로에 대한 위치들은 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상에 영향을 미치고 또한 워크피스(138)에 충돌하는 상기 궤적들에 영향을 미친다. 구동기들(703a,b)은 제어기들(356a,b)과 같은 제어기들에 의해 제어될 수 있다. 다른 실시예들에 있어서, 하나의 제어기가 사용되어 구동기들(703a,b) 모두를 제어한다.
도 18 및 도 19는 모든 다른 파라미터들이 동일하고 평면(151)에 대하여 절연체들(212, 214)이 다른 Z 위치들에 있을 때 이온 궤적들을 나타내는 도 7과 상응하는 단면도들이다. 도 18에서, 절연체들(252, 254)은 (Z2a-Z1)의 거리만큼 수직적으로 이격되어 있다. 도 19에서, 절연체들(252, 254)은 제2 수직 간격(Z2b-Z1)으로 위치하고 있다. 여기서, Z2b>Z2a이다. 그러므로, 갭 각도(ψ)는 도 19에서 더 크다. 도 18에서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계(863)는 평면(151)에 대하여 대략적으로 볼록한 형상을 갖는다. 대조적으로, 도 19에서, 경계(963)는 더 얕은 형상을 갖는다. Z 갭 거리들((Z1), (Z2a, Z2b))과 결합된 경계들(863, 963)의 형상은 워크피스(138)에 충돌하는 상기 이온들의 중심 각도에 영향을 미친다. 예를 들면, 비교적 짧은 수직 간격(더 짧은 갭 각도)에서 워크피스(138)에 충돌하는 이온들의 중심 각도는 도 10에 도시된 비교적 더 큰 수직 간격(더 큰 갭 각도)에서 워크피스(138)에 충돌하는 이온들의 중심 각도보다 0도에 더 가깝다(즉, 직교하는 각도로 상기 워크피스에 충돌한다).
또 다른 실시예에 있어서, 절연체들 사이의 수직 간격(Z2-Z1)이 유지되는 반면, Z1은 변화된다. 이것은 상기 절연체들을 상기 워크피스로부터 더 가깝게(또는 더 멀게) 이동시키는 효과를 갖는 반면, 상기 갭 각도(ψ)는 유지된다. 본 실시예에 있어서, 상기 중심 각도는 일정하게 유지되는 반면, 상기 각도 분포는 Z1이 변화함에 따라 변화한다. 실시예들에 있어서, 상기 각도 분포는 Z1이 감소될 때 증가하는 반면, Z1이 증가될 때 감소된다. 바꾸어 말하면, 예를 들면, Z1 하나의 값이 상기 중심 각도를 중심으로 5-10°의 각도 분포를 초래하는 반면, Z1의 더 짝은 값은 20-30°의 각도 분포를 초래한다. 이러한 효과는 상기 플라즈마와 상기 플라즈마 쉬스 사이의 상기 경계의 형상 변화에 의한 것이고, 이는 상기 절연체들이 상기 워크피스에 대하여 이동함에 따라 변화한다.
도 20을 참조하면, 또 다른 실시예의 블록도가 도시되어 있으며 절연체들(252, 254) 사이의 수평 간격(G)이 조정된다. 상기 수평 간격 조정들은 도 18 및 도 19의 수직 간격 조정들을 대신하여 또는 이에 추가적으로 이루어질 수 있다. 구동기(912)는 절연체들(212, 214) 중 적어도 하나에 기계적으로 연결되어 서로에 대하여 화살표(916) 방향으로 상기 절연체들을 구동시킬 수 있다. 구동기(912)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다. 수평 간격(G)의 조정은 갭 폭(δ)과 갭 각도(ψ) 모두에 영향을 미친다.
일 실시예에 있어서, 상기 절연체들 사이의 수평 갭 간격(G)은 변경된다. 상기 수평 갭 간격의 변경은 상기 중심 각도 및 상기 각도 분포 모두에 영향을 미치기 위해 사용될 수 있다. 예를 들면, 상기 수평 갭 간격은 0으로 감소되거나, 도 21에 도시된 바와 같이, 상기 절연체들이 오버랩됨으로써 음수로 이루어진다면, 상기 중심 각도는 매우 크게 될 수 있다. 작은 양의 수평 갭 간격들은 Z2와 Z1의 값들에 따라, 큰 갭 각도들(ψ)을 만들어냄으로써, 더 큰 중심 각도들을 만들 수 있다. 큰 양의 수평 갭 간격들은 갭 각도(ψ)를 감소시켜, 더 작은 중심 각도를 만들 수 있다.
도 23에 도시된 바와 같이, 두 가지 모드의 각도 퍼짐(bimodal angular spread)(1200)이 도 22에서 도시된 구성을 사용하여 생성될 수 있다. 두 가지 모드의 각도 퍼짐은 제1 각도 분포를 갖는 제1 중심 각도와 제2 각도 분포를 갖는 제2 중심 각도를 나타낸다. 이러한 두 가지 모드의 각도 퍼짐은 또한 도 15에 도시된 바와 같은 단지 두개의 절연체들의 상대 수직 위치를 변화시킴으로써 생성될 수 있다. 도 22의 실시예에 있어서, 적어도 세 개의 절연체들(1400, 1402, 1404)이 사용될 수 있다. 외측의 두개의 절연체들(11400, 1404)을 같은 수직 평면(Z2) 상에 배열함으로써, 그리고 상기 절연체들 사이에 같은 수평 간격(G8, G9)을 유지함으로써, +/-θ°를 중심으로 한, 대칭적인 두 가지 모드의 각도 퍼짐(1200)을 생성하는 게 가능하다. 상술한 바와 같이, 상기 중심 각도들은 상기 갭 각도들(ψ)을 변화시키기 위하여, 외측 절연체들(1400, 1404)과 중간 절연체(1402) 사이의 상기 수직 간격을 변화시킴으로써 조정될 수 있다. 상기 각도 퍼짐은 갬 폭(δ)을 변화시키기 위하여, 절연체들(1400, 1402, 1404) 사이의 수평 간격(G8, G9)을 변화시킴으로써 조정될 수 있다. 비대칭적인 분포는 Z2a를 Z2b와 달리 하고, G9와 다른 G8을 선택함으로써, 또는 이 두 가지를 조합하여 생성될 수 있다.
이전의 실시예들은 상기 절연체들이 평면인 것을 나타내고 있지만, 이는 본 발명에서 반드시 요구되는 것은 아니다. 도 24a-c는 절연체들의 몇 개의 다른 실시예들을 나타내고 있다. 도 24a는 반전된 "V" 형상의 절연체 구성을 나타낸다. 상술한 바와 같이, 상기 플라즈마 쉬스는 상기 절연체의 형상을 따른다. 그러므로, 상기 시스는 대응하는 반전된 "V" 형상을 형성한다. 절연체(1500)에서의 갭들은 이온들이 상기 절연체를 통과하도록 허용한다. φ에 의해 정의된, 반전된 "V"의 기울기는 상기 이온 분포의 중심 각도를 정의한다. 본 실시예에 있어서 갭 각도(ψ)는 φ의 보완이 될 수 있다. 갭들(Gc, Gd)은 각도 퍼짐(α1, α2)을 각각 정의한다. 도 24a와 도 24b가 비교될 때 알 수 있듯이, 더 큰 갭 폭(Gc와 같은)은 더 좁은 갭 폭보다 더 큰 각도 퍼짐(예를 들면, α1>α2)을 허용한다. 도 24c는 또 다른 실시예를 나타내고, 절연체(1502)가 비선형, 구부러지거나 곡선이어서 갭 폭(Ge)은 워크피스(138)에 대하여 일정 각도를 갖는다. 상술한 바와 같이, 상기 갭 각도들은 상기 중심 각도를 결정하고, 상기 갭들의 폭들은 상기 각도 퍼짐을 결정한다.
다른 실시예들 역시 가능하고 본 발명의 영역 내에 있다. 예를 들면, 실시예들에 있어서, 두 개 또는 그 이상의 절연체들이 사용되고, 갭을 생성하기 위하여 서로 이격된다. 상기 절연체들 사이의 갭은 이온들이 통과하여 워크피스로 진행하도록 허용한다. 다른 실시예들에 있어서, 하나의 절연체가 사용되고, 이온이 통과할 수 있는 적어도 하나의 개구부 또는 갭을 갖는다.
시스템을 개발하는 데 몇 가지 고려사항이 있다. 더 높은 갭 각도(ψ)는 상기 이온 분포의 더 큰 중심 각도를 만든다. 평면(257)을 따라 상기 개구부의 길이는 갭의 폭(δ)을 정의한다. 갭 폭(δ)은 상기 이온 분포의 각도 퍼짐에 영향을 미친다. 이러한 두가지 변수들은 서로 독립적이라는 점이 중요한다. 바꾸어 말하면, 갭 각도(ψ)는 갭 폭(δ)을 변화시키지 않고 조정될 수 있다. 유사하게, 갭 폭(δ)은 갭 각도(ψ)에 영향을 주지 않고 변화될 수 있다. 관심있는 또 다른 변수는 상기 갭(또는 절연체)으로부터 워크피스(138)까지의 거리이다. 이러한 변수는 다른 두개의 변수들로부터 독립적으로 변화될 수 있다. 독립적인 수평 및 수직 구동기들(도 17 및 도 20 참조)의 사용은 이러한 파라미터들을 결정하는 데 최대한의 유연성을 허용한다.
도 25는 워크피스(138)에 대하여 절연 조정기(248)를 상대적으로 구동시키는 스캐닝 시스템(1602)을 갖는 플라즈마 처리 장치(1600)를 나타내는 블록도이다. 도 25의 실시예에 있어서, 절연 조정기(248)는 도 26에 가장 잘 도시되어 있는 정방형의 절연 시트들(1612, 1614)을 포함한다. 스캐닝 시스템(1602)은 절연 시트들(1612, 1614)에 기계적으로 연결되어 이들을 상기 수직과 수평 방향들로 구동시키는 하나 또는 그 이상의 구동기들(1604)을 포함할 수 있다. 구동기(1604)는 제어기(356)와 같은 제어기에 의해 제어될 수 있다.
도 26은 정방형의 절연 시트들(1612, 1614)과 디스크 형상의 워크피스(138) 사이의 상대 운동의 예시들을 나타내는 평면도이다. 도 26의 실시예에 있어서, 스캐닝 시스템(1602)은 위치 A, 위치 B, 및 위치 C로부터 정방형의 절연 시트들(1612, 1614)을 구동시켜 워크피스(138)의 모든 부분들이 정방형의 절연 시트들(1612, 1614) 사이의 갭에 노출되도록 할 수 있다. 직교 좌표계가 도 26에서와 같이 정의된다면, 절연 시트들(1612, 1614)은 도 26의 X 방향으로 구동된다. 다른 실시예들에 있어서, 절연 시트들(1612, 1614) 또는 다른 절연 시트들의 집합이 Y 방향 또는 X와 Y 방향 사이의 특정 각도로 구동될 수 있다. 또한, 스캐닝 시스템(1602)이 절연 시트들(1612, 1614)을 일 방향으로 구동시킴에 따라 워크피스(138)는 회전될 수 있다. 또한 스캐닝 시스템(1602)이 상기 절연 시트들을 일 방향으로 회전시킨 후에 워크피스(138)는 기 설정된 회전 각도만큼 회전될 수 있다. 일 예로, 상기 회전은 화살표(1624) 방향으로 상기 워크피스의 중심축에 대하여 이루어질 수 있다.
도 25의 스캐닝 시스템은 서로로부터 수직 간격을 갖는 두개의 절연 플레이트들을 갖는 것으로 도시되어 있지만, 다른 실시예들이 가능하다. 예를 들면, 상기 스캐닝 시스템은 세 개의 절연 플레이트들을 사용하여 만들어져 도 22에 도시된 바와 같이 두개의 갭들을 생성시킬 수 있다. 또한, 도 24에 도시된 바와 같은 형상들이 상기 스캐닝 시스템에 사용될 수 있다. 더욱이, 이러한 도면들에 도시된 패턴들은 반복되어, 상기 워크피스의 폭 또는 길이를 지나 다중의 갭들이 존재할 수 있다. 실시예들에 있어서, 모든 갭들은 동일한 각도 분포(도 15-16에 도시된 바와 같이)를 생성한다. 다른 실시예들에 있어서, 상기 갭들은 변경된 각도 분포들을 생성하기 위해 사용된다. 본 실시예에 있어서, 상기 워크피스에서의 최종 각도 분포는 다양한 각도 분포들의 합일 수 있다.
도 27을 참조하면, 도 25와 상응하는 스캐닝 시스템(1602)이 도시되어 있다. 도 25와 비교하여, 도 27의 스캐닝 시스템(1602)은 다수개의 갭들을 정의하는 다수개의 절연체들(1702-1, 1702-2, 1702-3, ... 1702-(n-1), 1702-n)을 포함한다. 상기 스캐닝 시스템은 워크피스(138)에 대하여 다수개의 절연체들(1702-1, 1702-2, 1702-3, ... 1702-(n-1), 1702-n)을 상대적으로 구동시켜 다수개의 갭들(1703-1, 1703-2, 1703-3, ... 1703-n)을 워크피스(138)를 지나갈 수 있다.
갭 각도(ψ)의 변경은 상기 갭 간격을 변화시킴으로써, 도는 수직 간격(Z2-Z1)을 변화시킴으로써 이루어질 수 있다. 갭 각도의 변화들은 상기 중심 각도에 영향을 미칠 수 있다. 상기 각도 분포의 변경은 절연체들의 높이(Z1)를 변화시킴으로써, 또는 상기 갭 간격을 변화시킴으로써 이루어질 수 있다. 모든 세 개의 파라미터들(Z2, Z1 및 갭 간격)의 변경들은 원하는 각도 분포 또는 퍼짐과 함께 원하는 중심 각도를 생성시키기 위해 수행될 수 있다.
또한, 절연체들(252, 254)을 냉각시키는 것이 바람직할 수 있다. 실시예들에 있어서, 이러한 절연체들에는 채널들이 형성될 수 있으며, 이에 따라, 액체 또는 기체와 같은 유체가 통과하여 열을 제거할 수 있다. 다른 실시예들에 있어서, 상기 절연체들은 우수한 열 전도체들이고 열 싱크(thermal sink)에 접촉할 수 있다.
따라서, 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상을 제어하는 절연 조정기가 제공된다. 그러므로 상기 플라즈마로부터 상기 플라즈마 쉬스를 가로질러 끌어당겨지는 입자들은 더 큰 범위의 입사 각도들로 관련된 워크피스에 충돌할 수 있다. 상기 분포의 중심 각도는 상기 워크피스의 전면에 의해 정의된 워크피스 평면에 직교하지 않을 수 있고, 상기 절연 조정기의 적절한 위치를 통해 변경될 수 있다. 유사하게, 상기 중심 각도를 중심으로 한 상기 각도 퍼짐은 조정될 수 있다. 예를 들면, 입사 각도들(θ)의 범위는 약 0°를 중심으로 하고 약 +80°와 -80° 사이만큼 클 수 있다. 상기 퍼짐은 10-20°일 수 있다. 플라즈마 도핑 응용에 있어서, 워크피스 상의 작은 삼차원 구조물들은 더욱 균일하게 도핑될 수 있다. 예를 들면, 트렌치(244)의 측벽들(247)(도 2 참조)은 훨씬 더 작은 입사 각도의 범위를 갖는 종래의 플라즈마 도핑 장치와 비교하여 더욱 균일하게 도핑될 수 있다.
상기에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술분야에서 통상의 지식을 가진 자라면 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (17)

  1. 공정 챔버;
    워크피스를 지지하기 위한 플레이튼;
    상기 공정 챔버 내에서 상기 워크피스의 전면에 인접한 플라즈마 쉬스를 갖는 플라즈마를 생성하도록 구성된 소스;
    서로 독립적으로 이동 가능한 적어도 제1 절연체 및 제2 절연체를 포함하고, 상기 제1 절연체와 상기 제2 절연체 사이에서 갭을 갖는, 절연 조종기로서, 갭 평면이 상기 제1 절연체의 제1 모서리와 상기 제2 절연체의 제2 모서리 사이에서 정의되고, 상기 제1 모서리는 상기 플라즈마에 가장 가까운 상기 제1 절연체의 일측부 상에 상기 갭에 근접하게 위치하고, 상기 제2 모서리는 상기 플라즈마에 가장 가까운 상기 제2 절연체의 일측부 상에 상기 갭에 근접하게 위치하고, 상기 워크피스와 상기 제1 절연체 사이의 수직 간격은 상기 워크피스와 상기 제2 절연체 사이의 수직 간격과 다르고, 갭 각도는 상기 갭 평면과 워크피스 평면 사이의 각도이고, 상기 워크피스 평면은 상기 플라즈마를 향하는 상기 워크피스의 전면에 의해 정의된 평면이고, 상기 갭 각도는 0이 아닌 것을 특징으로 하는 절연 조정기; 및
    상기 워크피스를 처리하기 위하여 상기 플라즈마로부터 상기 플라즈마 쉬스를 가로질러 상기 워크피스를 향하여 이온들을 가속시키도록 구성된 바이어스 소스를 포함하고,
    상기 워크피스 평면에 대한 상기 이온들의 입사 각도들의 범위는 상기 플라즈마와 상기 플라즈마 쉬스 사이의 경계의 형상에 의존하고, 상기 입사 각도들의 범위는 중심 각도와 상기 중심 각도를 중심으로 한 각도 분포를 포함하고, 상기 중심 각도는 상기 워크피스 평면에 대하여 직교하지 않는 것을 특징으로 하는 플라즈마 처리 장치.
  2. 삭제
  3. 제 1 항에 있어서, 상기 제1 절연체와 제2 절연체는 절연 시트들을 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 1 항에 있어서, 상기 절연체들 중 적어도 하나의 절연체에 기계적으로 연결되어 상기 갭의 수평 간격을 조정하는 구동기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 1 항에 있어서, 상기 절연체들 중 적어도 하나의 절연체에 기계적으로 연결되어 상기 제1 절연체와 상기 제2 절연체 사이의 수직 간격을 조정하는 구동기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 1 항에 있어서, 상기 제1 및 제2 절연체들은 석영으로 제조되는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제 1 항에 있어서, 상기 워크피스에 대하여 상기 절연체들을 상대적으로 이동시키도록 구성된 스캐닝 시스템을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제 1 항에 있어서, 상기 절연 조정기는 적어도 제3 절연체를 더 포함하고, 상기 제2 절연체는 상기 제1 및 제3 절연체들 사이에 위치하고, 상기 세 개의 절연체들은 사이에서 두개의 갭들을 정의하고, 상기 제1 및 제3 절연체들은 상기 워크피스 평면으로부터 제1 수직 간격을 갖고, 상기 제2 절연체는 상기 워크피스 평면으로부터 제2 수직 간격을 갖고, 상기 제2 수직 간격은 상기 제1 수직 간격과 다른 것을 특징으로 하는 플라즈마 처리 장치.
  9. 제 1 항에 있어서, 상기 제1 절연체 및 상기 제2 절연체 각각은 제1 및 제2 단부들을 구비하고, 상기 제1 절연체의 상기 제2 단부와 상기 제2 절연체의 상기 제1 단부는 접촉하고 서로에 대하여 일정 각도로 위치하고, 상기 절연체들 중 적어도 하나의 절연체는 갭을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
KR1020177003811A 2009-04-03 2010-04-02 플라즈마 처리 장치 KR101811790B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus
US12/418,120 2009-04-03
US12/644,103 2009-12-22
US12/644,103 US8101510B2 (en) 2009-04-03 2009-12-22 Plasma processing apparatus
PCT/US2010/029793 WO2010115110A2 (en) 2009-04-03 2010-04-02 Plasma processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117025213A Division KR101707563B1 (ko) 2009-04-03 2010-04-02 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
KR20170019483A KR20170019483A (ko) 2017-02-21
KR101811790B1 true KR101811790B1 (ko) 2017-12-22

Family

ID=42826536

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117025213A KR101707563B1 (ko) 2009-04-03 2010-04-02 플라즈마 처리 장치
KR1020177003811A KR101811790B1 (ko) 2009-04-03 2010-04-02 플라즈마 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020117025213A KR101707563B1 (ko) 2009-04-03 2010-04-02 플라즈마 처리 장치

Country Status (6)

Country Link
US (2) US8101510B2 (ko)
JP (1) JP5704577B2 (ko)
KR (2) KR101707563B1 (ko)
CN (1) CN102428762B (ko)
TW (1) TWI463034B (ko)
WO (1) WO2010115110A2 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8461030B2 (en) 2009-11-17 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for controllably implanting workpieces
US8187979B2 (en) 2009-12-23 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Workpiece patterning with plasma sheath modulation
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US8435727B2 (en) 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
US20120213941A1 (en) * 2011-02-22 2012-08-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted plasma treatment of a three-dimensional structure
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US8288741B1 (en) * 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US8974683B2 (en) * 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US9136096B2 (en) * 2012-07-27 2015-09-15 Varian Semiconductor Equipment Associates, Inc. Three dimensional metal deposition technique
US8728951B2 (en) * 2012-07-31 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Method and system for ion-assisted processing
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9728623B2 (en) 2013-06-19 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Replacement metal gate transistor
US9153444B2 (en) 2013-06-19 2015-10-06 Varian Semiconductor Equipment Associates, Inc. Process flow for replacement metal gate transistors
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9530674B2 (en) 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
US9515166B2 (en) 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9336998B2 (en) 2014-05-09 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for dynamic control of ion beam energy and angle
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9520267B2 (en) * 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9589769B2 (en) * 2014-07-09 2017-03-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for efficient materials use during substrate processing
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9478399B2 (en) 2015-03-27 2016-10-25 Varian Semiconductor Equipment Associates, Inc. Multi-aperture extraction system for angled ion beam
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9653310B1 (en) 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10229832B2 (en) 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102137886B1 (ko) * 2018-10-26 2020-07-24 인제대학교 산학협력단 h-BN 성장용 LPCVD 시스템
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US20200321186A1 (en) * 2019-04-02 2020-10-08 Applied Materials, Inc. Method and apparatus for angled etching
US11056319B2 (en) * 2019-07-29 2021-07-06 Applied Materials, Inc. Apparatus and system having extraction assembly for wide angle ion beam
US11791126B2 (en) 2019-08-27 2023-10-17 Applied Materials, Inc. Apparatus for directional processing
US11495430B2 (en) 2020-07-15 2022-11-08 Applied Materials, Inc. Tunable extraction assembly for wide angle ion beam
US11978640B2 (en) 2021-04-09 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050051517A1 (en) * 2003-08-12 2005-03-10 Oehrlein Gottlieb S. Method and system for nanoscale plasma processing of objects
JP2008539595A (ja) * 2005-04-25 2008-11-13 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 傾斜プラズマドーピング

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
JPH08138595A (ja) 1994-11-10 1996-05-31 Nissin Electric Co Ltd イオン源
JPH1116858A (ja) 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR100281241B1 (ko) 1998-11-19 2001-06-01 하대규 파라데이 상자의 윗면의 격자면을 변화시켜 플라즈마 식각을하는 방법
US6512333B2 (en) 1999-05-20 2003-01-28 Lee Chen RF-powered plasma accelerator/homogenizer
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
SG126681A1 (en) 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
JP2003133252A (ja) * 2001-10-26 2003-05-09 Semiconductor Energy Lab Co Ltd ビームの集束方法およびドーピング装置、並びに半導体装置の作製方法
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
JP4411581B2 (ja) 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
JP2005123369A (ja) * 2003-10-16 2005-05-12 Toppan Printing Co Ltd ドライエッチング方法及びそのドライエッチング装置
JP2006054334A (ja) 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100714898B1 (ko) * 2005-01-21 2007-05-04 삼성전자주식회사 중성빔을 이용한 기판 처리장치 및 처리방법
KR100702010B1 (ko) * 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US7687787B2 (en) 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
JP2006278006A (ja) 2005-03-28 2006-10-12 Japan Atomic Energy Agency イオン源引き出し領域におけるプラズマ境界面制御方法及びそのイオン源
JP4882456B2 (ja) 2006-03-31 2012-02-22 株式会社Ihi イオン注入装置
US7498592B2 (en) 2006-06-28 2009-03-03 Wisconsin Alumni Research Foundation Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US20090084987A1 (en) 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
EP2175469A1 (en) 2008-10-09 2010-04-14 Danmarks Tekniske Universitet (DTU) Ion beam extraction by discrete ion focusing
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050051517A1 (en) * 2003-08-12 2005-03-10 Oehrlein Gottlieb S. Method and system for nanoscale plasma processing of objects
JP2008539595A (ja) * 2005-04-25 2008-11-13 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 傾斜プラズマドーピング

Also Published As

Publication number Publication date
JP2012523122A (ja) 2012-09-27
WO2010115110A3 (en) 2011-01-13
WO2010115110A2 (en) 2010-10-07
KR20110135871A (ko) 2011-12-19
JP5704577B2 (ja) 2015-04-22
US8664098B2 (en) 2014-03-04
KR20170019483A (ko) 2017-02-21
CN102428762B (zh) 2014-10-08
TWI463034B (zh) 2014-12-01
CN102428762A (zh) 2012-04-25
US20100255665A1 (en) 2010-10-07
US20120111834A1 (en) 2012-05-10
TW201116646A (en) 2011-05-16
US8101510B2 (en) 2012-01-24
KR101707563B1 (ko) 2017-02-16

Similar Documents

Publication Publication Date Title
KR101811790B1 (ko) 플라즈마 처리 장치
KR101585519B1 (ko) 플라즈마 쉬스 공학을 사용한 개선된 식각 및 증착 프로파일 제어
KR20110134493A (ko) 플라즈마 처리 장치
JP6388580B2 (ja) プラズマ処理装置及びスパッタリングシステム
KR101668822B1 (ko) 이온 소스
US8188445B2 (en) Ion source
TWI520660B (zh) 保形沈積的方法以及在工件中蝕刻三維特徵的方法
TW201114332A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant