JP2008539595A - 傾斜プラズマドーピング - Google Patents

傾斜プラズマドーピング Download PDF

Info

Publication number
JP2008539595A
JP2008539595A JP2008509065A JP2008509065A JP2008539595A JP 2008539595 A JP2008539595 A JP 2008539595A JP 2008509065 A JP2008509065 A JP 2008509065A JP 2008509065 A JP2008509065 A JP 2008509065A JP 2008539595 A JP2008539595 A JP 2008539595A
Authority
JP
Japan
Prior art keywords
grating
target
plasma
ions
biasing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008509065A
Other languages
English (en)
Inventor
シング、ヴィクラム
ブフ、ジェイムズ、エス.
ドレイ、ラジェッシュ
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JP2008539595A publication Critical patent/JP2008539595A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

プラズマドーピング装置(100)は、チャンバ(104)とドーパントガスからチャンバ内にイオンを発生させるプラズマ源(162)を含む。グレーティング(154)はチャンバ内に位置付けられる。ターゲット(150)を支持するプラテン(148)は、チャンバ内に位置付けられる。グレーティングおよびターゲットのうち少なくとも1つは、グレーティングから引き出されるドーパントイオンは非法線入射角でターゲットに衝突するよう方向付けられる。
【選択図】 図1

Description

序文
本願に使用するセクションの見出しは構成目的に過ぎず、本願に記載する主題を制限すると解釈すべきではない。
従来のビームラインイオン注入装置は、電界によりイオンを加速する。加速されたイオンは、注入のための所望のイオンを選択するようイオンの質量対電荷比に応じてフィルタリングされる。プラズマドーピングまたはプラズマ浸漬イオン注入(PIII)は、ドーパントイオンを含むプラズマ中にターゲットを浸漬し、一連の負電圧パルスを使用してターゲットにバイアスをかける。ターゲットにかかる負のバイアスは、ターゲットの表面から電子を跳ね返し、それにより、正イオンのシースが生成される。正イオンのシースは、シース境界とターゲット表面との間に電界を生成する。電界は、ターゲットに向けてイオンを加速し、それによりターゲットの表面にイオンが注入される。
本発明の複数の態様は、添付図面とともに以下の説明を参照することによってより良好に理解されよう。図面中、同様の番号は様々な図面における同様の構造要素および特徴を示す。図面は必ずしも縮尺が測られているわけではない。当業者は、以下に説明する図面は、説明目的だけであることを理解しよう。図面はどのようにも本教示内容の範囲を制限することを意図しない。
本教示内容は、様々な実施形態および例とともに説明するが、本教示内容は、そのような実施形態に制限することを意図しない。むしろ、本教示内容は、当業者に理解されるように様々な代替案、変更、および等価物を包含する。
たとえば、本発明の方法および装置は、イオンビームエッチングおよび他の材料加工アプリケーションといった任意のイオンビームアプリケーションに適用でき、プラズマドーピングに限定されない。また、当業者は、本発明の装置および方法は、浅角ドーパントインプラントに限定されず、実際には、任意の非法線の入射角でドーパントイオンを注入するよう使用することができる。さらに、一部の実施形態は、傾斜されたグレーティングまたは傾斜されたターゲットに関連して説明する。当業者は、本発明の装置および方法は、グレーティングから引き出されたドーパントイオンが所望の非法線の入射角でターゲットに衝突する限り、多数の方向に位置付けられるターゲットおよびグレーティングを使用して実施できることを理解されよう。
本発明の方法の個々の工程は、本発明が実施可能である限り任意の順番でおよび/または同時に行われうることを理解すべきである。さらに、本発明の装置は、本発明が実施可能である限り任意の数のまたはすべての説明する実施形態を含むことができることを理解すべきである。
図1は、本発明による傾斜グレーティングを有するプラズマドーピング装置100の1つの実施形態を示す。プラズマドーピング装置100は、処理チャンバ104に取り付けられるプラズマ源102を含む。必要なドーパントイオン密度を生成する任意のプラズマ源を使用することができる。図1に示すプラズマ源102は、本譲受人に譲渡されている2004年12月20日に出願し、「RF Plasma Source with Conductive Top Section」なる名称の米国特許出願番号第10/905,172号により詳細に説明されるRF誘導結合プラズマ源である。米国特許出願番号第10/905,172号の明細書全体は本願に参照として組み込む。プラズマ源102は、任意の多数の他のタイプのプラズマ源でありうる。たとえば、プラズマ源102は、誘電結合プラズマ源、容量結合プラズマ源、トロイダルプラズマ源、ヘリコンプラズマ源、DCプラズマ源、リモートプラズマ源、およびダウンストリームプラズマ源でありうる。
プラズマ源102は、水平方向に延在する誘電材料から形成される第1の部分106を含む。第2の部分108は、第1の部分106から垂直方向にある高さで延在する誘電材料から形成される。図1に示す実施形態では、第2の部分108は、円筒状に形成される。当業者は、第1の部分106は正確に水平方向に延在する必要はなく、また、第2の部分108は正確に垂直方向に延在する必要はないと解釈するであろうと理解する。
プラズマ源102の第1の部分106および第2の部分108の寸法は、プラズマ源102内に生成されるプラズマの均一性を向上するよう選択できる。一実施形態では、垂直方向における第2の部分108の高さと、水平方向における第2の部分108の長さの比は、1.5乃至5.5である。
第1の部分106および第2の部分108における誘電材料は、RFアンテナからのRF電力を、プラズマ源102内のプラズマに転送する媒体を供給する。一実施形態では、第1の部分106および第2の部分108を形成するよう使用される誘電材料は、ドーパントガスに対し化学的耐性を有し、また、良好な熱特性を有する高純度セラミック材料である。たとえば、一部の実施形態では、誘電材料は、99.6%のAl2O3またはAlNである。他の実施形態では、誘電材料は、イットリアおよびYAGである。
プラズマ源102の上部110は、水平方向に第2の部分108の上部にわたって延在する導電材料から形成される。一部の実施形態では、導電材料はアルミニウムである。上部110を形成するよう使用される材料は一般的に、ドーパントガスに対して科学的耐性を有するよう選択される。上部110を形成するよう使用される材料の導電率は、熱負荷の実質的な部分を放散し、また、二次電子放出によりもたらされる帯電効果を最小限にするのに十分に高いよう選択できる。
一実施形態では、上部110は、Chemrzおよび/またはKalrex材料から形成されるOリングといったフルオロカーボンポリマーから形成される高温ハロゲン耐性Oリングにより第2の部分108に結合される。上部110は一般的に、第2の部分108への圧迫を最小限にするが、第2の部分108に対して上部110を密閉するのに十分な圧迫を与えるよう第2の部分108に取り付けられる。
一部のプラズマドーピング処理は、二次電子放出によってプラズマ源102の内面に相当量の不均一分散された熱を生成する。不均一分散された熱は、プラズマ源102の内面に温度勾配をもたらし、この温度勾配はプラズマ源102内に複数の熱応力ポイントを引き起こすのに十分に高く、これは故障の原因となりうる。一部の実施形態では、上部110は、加工時に発生する熱負荷を放散するために上部110の温度を調整する冷却システムを含む。冷却システムは、冷却液源からの冷却液を循環させる上部110内にある冷却路112を含む流体冷却システムでありうる。
RFアンテナは、プラズマ源102の第1の部分106および第2の部分108の少なくとも1つに近接して位置付けられる。図1に示すプラズマドーピング装置100は、プラズマ源102の第1の部分106に隣接して位置付けられる平面コイルアンテナ114と、プラズマ源102の第2の部分108を取り囲むヘリカルコイルアンテナ116を示す。しかし、プラズマ源102は、多くの異なるアンテナ構造を有することができる。
平面コイルアンテナ114およびヘリカルコイルアンテナ116のうち少なくとも1つがアクティブアンテナである。「アクティブアンテナ」という用語は、本願では、電源により直接駆動されるアンテナとして定義する。すなわち、電源により生成される電圧は、アクティブアンテナに直接印加される。一部の実施形態では、平面コイルアンテナ114およびヘリカルコイルアンテナ116のうち少なくとも1つは、液体冷却ができるように形成される。平面コイルアンテナ114およびヘリカルコイルアンテナ116のうち少なくとも1つを冷却することによって、RFアンテナ114、116を伝播するRF電力によりもたらされる温度勾配を減少する。
一部の実施形態では、平面コイルアンテナ114およびヘリカルコイルアンテナ116のうち1つは、無給電アンテナである。「無給電アンテナ」という用語は、本願では、アクティブアンテナと電磁気的に連絡するが、電源には直接接続されないアンテナを意味するよう定義する。すなわち、無給電アンテナは、電源により直接励起されず、むしろアクティブアンテナにより励起される。本発明の一部の実施形態では、無給電アンテナの一端は、アンテナ調整機能を与えるために接地電位に電気接続される。この実施形態では、無給電アンテナは、無給電アンテナコイルにおける実効巻回数を変更するよう使用されるコイル調節器115を含む。メタルショートといった多数の異なるタイプのコイル調節器を使用することができる。
RF電源118は、平面コイルアンテナ114およびヘリカルコイルアンテナ116のうち少なくとも1つに電気接続される。RF電源118は、RF電源118からRFアンテナ114、116に転送される電力を最大限にするインピーダンスマッチングネットワーク120によりRFアンテナ114、116のうち少なくとも1つに電気結合される。インピーダンスマッチングネットワーク120の出力から平面コイルアンテナ114およびヘリカルコイルアンテナ116への破線は、インピーダンスマッチングネットワーク120の出力から平面コイルアンテナ114およびヘリカルコイルアンテナ116のうちのいずれかまたは両方に電気接続できることを示すよう図示する。
ガス源122は、比例弁124を介してプラズマ源102に結合される。一部の実施形態では、ガスバッフル126を使用してプラズマ源102内にガスを分散する。圧力ゲージ128は、プラズマ源102内の圧力を測定する。処理チャンバ104の排気口130は、処理チャンバ104を排気する真空ポンプ132に結合される。排気弁134が、排気口130を通る排気コンダクタンス(exhaust conductance)を制御する。ガス圧力制御器136は、比例弁124、圧力ゲージ128、および排気弁134に電気接続される。ガス圧力制御器136は、圧力ゲージ128に応答するフィードバックループにおいて、排気弁134を使用して排気コンダクタンスを制御することにより、また、比例弁124を使用してドーパントガス流量を制御することにより、プラズマ源102および処理チャンバ104内に所望の圧力を維持する。
一部の実施形態では、微量ガス種の比率制御は、一次ドーパントガス種を供給するドーパントガスと一列に結合される質量流量メータ(図示せず)により供給される。さらに一部の実施形態では、別個のガス注入手段(図示せず)を、その場で種を調整するために使用される。たとえば、適切なドーパントでドーピングされたシリコンを使用して、処理チャンバ104内に均一なコーティングを供給する。これは、汚染物質を減少する。さらに、一部の実施形態では、複数口ガス注入手段(図示せず)を使用してウエーハ全体にわたる変動をもたらす中性の化学効果を引き起こすガスを供給する。
一部の実施形態では、プラズマドーピング装置100は、プラズマ点火器138を含む。多数のタイプのプラズマ点火器を、本発明のプラズマドーピング装置とともに使用することができる。一実施形態では、プラズマ点火器138は、アルゴン(Ar)といった高度にイオン化可能なガスであり、プラズマの点火を支援するストライクガスのレザバ140を含む。レザバ140は、高コンダクタンスのガス接続142を使用してプラズマチャンバ104に結合される。バースト弁144が、レザバ140を処理チャンバ104から分離させる。別の実施形態では、ストライクガス源は、低コンダクタンスのガス接続を使用してバースト弁144に直接配管される。一部の実施形態では、レザバ140の一部は、制限コンダクタンスオリフィス146、または、最初の大流量バースト後にストライクガスの安定した流量を供給する計量弁によって分離される。
プラテン148は、プラズマ源102の上部110より下の高さにおいて処理チャンバ104内に位置付けられる。プラテン148は、イオン注入のために基板といったターゲット150を保持する。多くの実施形態において、ターゲット150は、プラテン148に電気接続される。図1に示す実施形態では、プラテン148は、プラズマ源102に対して平行である。しかし、本発明の他の実施形態では、プラテン148は、プラズマ源102に対して傾斜される。
一実施形態では、プラテン148は、可動ステージ152に機械結合される。一実施形態では、可動ステージ152は、少なくとも1つの方向においてターゲット150を走査する並進ステージである。一実施形態では、可動ステージ152は、ターゲット150をディザリングさせるディザ生成器、または、ターゲット150を振動させるオシレータである。一実施形態では、可動ステージ152は、ターゲット150を回転させる回転ステージである。並進、ディザリング、振動、および/または回転動作は、シャドーイング効果を減少または排除し、ターゲット150の表面に衝突するイオンビーム束の均一性を向上する。回転動作はさらに、多段ドーパントイオンインプラントを制御するよう使用することができる。
グレーティング154は、プラテン148の近傍に処理チャンバ104内に位置付けられる。「グレーティング」という用語は、本願において、プラズマ源102により発生されたプラズマに対する障壁を形成し、グレーティングに適切にバイアスがかけられるときにプラズマ内のイオンがその中を通り抜ける通路を画成する構造体として定義する。グレーティング154とプラテン148との間の領域156は、この領域156におけるイオン衝突数を減少するような寸法にすることができる。ターゲット150およびグレーティング154は、グレーティング154から引き出されたドーパントイオンが、所望の非法線入射角でターゲット150に衝突するよう共に方向付けられる。図1に示す実施形態では、グレーティング154は、所望の非ゼロ入射角で方向付けられる。
一実施形態では、グレーティング154は、非金属材料か、非金属材料で完全に被覆される金属材料から形成される。たとえば、グレーティング154は、ドープシリコン(多結晶、または、単結晶)、シリコンカーバイド、およびシリコン被覆されたアルミニウムから形成できる。このような材料は水素化物およびフッ化物の化学性質と良好に機能する。
グレーティング154は、図1に示すように直線形状か、または、図3に関連して説明するのこ歯形状といった多数の他の形状で形成することができる。一実施形態では、グレーティング154は、開口を有するグリッドである。別の実施形態では、グレーティング154は、スロットを画成する構造体である。さらに別の実施形態では、グレーティング154は、穴のあいたメッシュ構造体である。グレーティング154の充填比は、ターゲット150の表面において特定のイオン電流を実現する、または、グレーティング154とプラテン148との間の領域156内へのプラズマ範囲を制限するよう選択することができる。充填比はさらに、グレーティング154とプラテン148との間の領域156内にプラズマの形成を阻止するよう選択されうる。本願では、「充填比」という用語は、ドーパントイオンを通過させるグレーティング154の穴の開いている領域と、イオンを遮るグレーティング154の穴の開いていない領域との比を意味するよう定義する。
グレーティング154の面積は、一般的に、注入されるターゲット150の面積より大きいかまたは等しい。グレーティング154とターゲット150との間の領域156は、背景ドーパントガス分子との衝突により引き起こされる領域156におけるイオンの散乱を阻止するために、プラズマ源102より低い圧力にすることができる。グレーティング154とターゲット150との間の領域156はさらに、グレーティング154とターゲット150との間の領域156におけるプラズマの形成を阻止するために、プラズマ源102より低い圧力にすることができる。
一実施形態では、グレーティング154は、可動ステージ158に機械結合される。可動ステージ158は、グレーティング154をディザリングさせるディザ発生器、または、グレーティング154を振動させるオシレータでありうる。この実施形態では、可動ステージ158は、グレーティング154を、グレーティング154にあるスロットに対して垂直な方向にディザリングまたは振動させる。可動ステージ158は、グレーティング154が開口またはメッシュパターンを形成する場合は、グレーティング154を2方向にディザリングまたは振動させる。可動ステージ158は、グレーティング154を回転させる回転ステージでありうる。並進、ディザリング、振動、および/または回転動作は、シャドーイング効果を減少または排除し、ターゲットの表面に衝突するイオンビーム束の均一性を向上する。
バイアス電圧電源160は、プラズマ中のドーパントイオンがグレーティング154から引き出され、非法線入射角でターゲット150に衝突するようグレーティング154およびターゲット150のうち少なくとも1つにバイアスをかけるよう使用される。バイアス電圧電源160は、DC電源、パルス電源、またはRF電源でありうる。バイアス電圧電源160の出力は、グレーティング154およびターゲット150のうち少なくとも1つに電気接続される。バイアス電圧電源160の出力からグレーティング154およびターゲット150への破線は、バイアス電圧電源160の出力からグレーティング154およびターゲット150のいずれかまたは両方に電気接続できることを示すよう図示する。
図1に示す実施形態では、バイアス電圧電源160の出力は、グレーティング154およびターゲット150が実質的に同じ電位であるようグレーティング154およびターゲット150の両方に電気接続される。この実施形態では、グレーティング154とターゲット150との間の領域156は、実質的に定電位であり、したがって、フィールドフリー領域である。しかし、当業者は、図1のプラズマドーピング装置は、多くの異なる可能なバイアス印加構造を有することを理解するであろう。
一実施形態では、電極162は、グレーティング154に近接して位置付けられる。電極162は、図1に示すようにグレーティング154に隣接して位置付けることができる。この実施形態では、電極162は、グレーティング154と同じ充填比とグレーティングパターンを有し、また、グレーティング154と電極162の両方をイオンが通過するようグレーティング154と位置合わせされる。電極162は、ターゲット150により発生される電子の少なくとも一部が電極162により吸収されるようグレーティング154と実質的に同じ電位でバイアスがかけられる。
一実施形態では、グレーティング154とターゲット150との間の領域156内に磁界を発生させるようグレーティング154およびターゲット150に近接して磁石または任意の磁界源が位置付けられる。磁界は、ターゲット150に近接する電子の少なくとも一部を捕獲する。
図2は、本発明による傾斜プラテン202を有するプラズマドーピング装置200を示す。プラズマドーピング装置200は、プラズマドーピング装置100と同様であるが、グレーティング154は、プラズマ源102と平行に位置付けられ、プラテン202は、ターゲット150の表面がグレーティング154に対して所望の非ゼロ角度で位置付けられるよう設計される。別の実施形態では、グレーティング154は、プラズマ源102に対して非ゼロ角度で位置付けられ、プラテン202は、ターゲット150の表面がグレーティング154およびプラズマ源102の両方に対して非ゼロ角度で位置付けられるよう設計される。
プラテン202は、図1に関連して説明したように可動ステージ152と共に機械的に並進、ディザリング、振動、および/または回転されることができる。グレーティング154も、図1に関連して説明したように可動ステージ152と共に機械的に並進、ディザリング、振動、および/または回転されることができる。ターゲット150およびグレーティング154のうち少なくとも1つの並進、ディザリング、振動、および/または回転は、イオンシャドーイング効果を減少または排除することができ、したがって、ターゲット150の表面に衝突するイオン束の均一性を向上することができる。
図3は、本発明によるのこ歯形状のグレーティング302を有するプラズマドーピング装置300の一実施形態を示す。開口またはスロットのサイズ、のこ歯パターンの角度304、および、のこ歯パターンの長さ306は、ターゲット150の表面に相対的に均一なイオン束が衝突するよう選択される。一実施形態では、のこ歯形状グレーティング302は、グレーティング302のイオンシャドーイング効果を最小限にするまたは排除するよう設計される。
のこ歯形状グレーティング302は、少なくとも1つの方向においてグレーティング302を走査する可動ステージ308に機械結合されうる。一実施形態では、可動ステージ308は、グレーティング302をディザリングさせるディザ発生器またはグレーティング302を振動させるオシレータである。この実施形態では、グレーティング302は、グレーティング302にあるスロットに対して垂直な方向にディザリングまたは振動される。グレーティング302は、グレーティングが開口またはメッシュパターンを形成する場合は、2方向にディザリングまたは振動される。一実施形態では、可動ステージ308は、グレーティング302を回転させる回転ステージである。並進、ディザリング、振動、および/または回転動作は、シャドーイング効果を減少または排除し、また、ターゲット150の表面に衝突するイオンビーム束の均一性を向上する。
図1−3に関連して説明したプラズマドーピング装置100、200、300の動作は同様である。動作時、プラズマ源102は高い真空に排気される。次に、ドーパントガスは、比例弁124によりプラズマ源102内に注入され、真空ポンプ132により処理チャンバ104から排出される。一実施形態では、ドーパントガスは、プラズマ源102内に対称的に注入され、処理チャンバ104から対称的に押し出しされる。ガス圧力制御器136は、所望のドーパントガス流量および排気コンダクタンスのために所望のガス圧力を維持するよう使用される。
RF電源118は、RFアンテナ114、116に供給されるRF信号を生成する。一部の実施形態では、平面コイルアンテナ114およびヘリカルコイルアンテナ116のうちの1つは無給電アンテナであり、この無給電アンテナは、プラズマの均一性を向上するまたは最大にするよう調整される。一部の実施形態では、RF源118は、相対的に低周波のRF信号を生成する。相対的に低周波のRF信号を使用することは、静電結合を最小限にし、したがって、チャンバ壁のスパッタリング、および結果としてもたらされる汚れを減少する。たとえば、これらの実施形態において、RF電源118は、400kHz、2MHz、4MHz、または13.56MHzといった27MHzより下のRF信号を生成する。
RFアンテナ114、116に供給されるRF信号は、RFアンテナ114、116内にRF電流を生成する。RFアンテナ114、116におけるRF電流によって発生される電磁界は、第1の部分106を形成する誘電材料および第2の部分108を形成する誘電材料のうち少なく1つを介してプラズマ源102内に結合する。プラズマ源102内に発生される電磁界は、ドーパントガス分子を励起およびイオン化する。プラズマ点火は、少数の自由電子が一部のドーパントガス分子をイオン化するよう少数の自由電子が移動すると発生する。イオン化されたドーパントガス分子はより多くの自由電子を放出し、これらは、より多くのガス分子をイオン化する。イオン化工程は、プラズマ内にイオン化ガスと自由電子の安定状態が存在するまで続行する。
プラズマ点火は、ヘリウム中のジボラン(85%He中の15%B2H6)といった一部のドーパントガスでは困難である。このようなガスには、プラズマを点火するためにストライクガスを使用することが好適である。一実施形態では、アルゴン(Ar)といったストライクガスが、バースト弁144を開閉することにより所定時に処理チャンバ104内に制御可能に導入される。バースト弁144は、ストライクガスの短い大流量バーストをプラズマ源102内に入れてプラズマの点火を支援する。
RF源102は、RFアンテナ114、116内のRF電流を共振させる。RFアンテナ114、116内のRF電流は、プラズマ源102内にRF電流を発生させる。プラズマ源102内のRF電流は、プラズマ源102内にプラズマを発生するようドーパントガスを励起およびイオン化する。プラズマは、グレーティング154、302によりプラズマチャンバ102内に制限される。
グレーティング154、302、およびターゲット150のうち少なくとも1つには、グレーティング154、302からドーパントイオンが引き出され、所望の非法線の入射角でターゲット150に衝突するようバイアスがかけられる。プラズマ中のイオンは、グレーティング154、302における開口またはスロットを通り加速される。グレーティング154、302とターゲット150との間の任意のプラズマは、非常に急速に消失する(背景ガスに依存する。この時間は、マイクロ秒からミリ秒の範囲で異なりうる)。バイアス電圧が消失すると、プラズマは、開口またはスロットを通り拡散し、ターゲット150の表面の少なくとも一部の電荷を中和する。
引き出されたドーパントイオンの大部分は、バイアス電圧とプラズマ電位の合計に略等しいエネルギーでターゲット150に衝突する。グレーティング154、302とターゲット150との間に存在する残留プラズマにいくらかの相対的に低エネルギーの熱イオンが存在しうる。これらのイオンは、グレーティング154、302と、ターゲット150との間に捕獲され、一般的に、ターゲット150に衝突しない。イオンがターゲット150に衝突することによって発生される二次電子の多くは、イオンの正電位により吸収される。グレーティング154、302より上方の電子は、グレーティング154、302にかかる負電圧によって素早く跳ね返される。バイアス電圧が消失すると、プラズマは、スロットを通り拡散し、ターゲット150の表面の電荷を中和する。
非法線入射角は、特定の用途に対して調節することができる。たとえば、相対的に低い入射角は、無拡散アニーリング処理を使用するデバイスの一部のソースドレイン拡張インプラントに必要である。低から高の傾斜角度は、特定のデバイス構造に応じて、トレンチおよび障壁構造を有する一部のデバイス、および、FinFETデバイスにサイド−ウォールドーピング(side-wall doping)を行うのに必要である。
非法線入射角はさらに、特定のイオン注入パラメータを実現するよう選択されうる。たとえば、非法線入射角は、ターゲット150におけるドーパントイオンの所定の横方向散乱(lateral straggle)を実現するよう選択することができる。さらに、非法線入射角は、ターゲット150においてドーパントイオンの所定のチャネリングを実現する、または、ターゲット150においてドーパントイオンのチャネリングを低減するよう選択することができる。
図1−3に示す実施形態では、グレーティング154、302と、ターゲット150は、グレーティング154、302とターゲット150との間にフィールドフリー領域156を形成するよう同じ電位でバイアスがかけられる。他のバイアス構造も多くある。たとえば、グレーティング154、302は、ターゲット150に対してバイアスがかけられうる。さらに、グレーティング154、302とターゲット150は、時間的に同期でまたは非同期でバイアスがかけられうる。また、グレーティング154、302とターゲット150のうちの1つにバイアスをかけ、もう1つは浮遊電位でありうる。
一実施形態では、グレーティング154、302と、ターゲット150のうち少なくとも1つは、パルス周波数でグレーティング154、302と、ターゲット150のうち少なくとも1つをパルシングすることによりバイアスがかけられる。グレーティング154、302と、ターゲット150のうち少なくとも1つに機械結合される並進ステージ、オシレータ、および/またはディザ発生器といった可動ステージ152、158、308を含む実施形態では、バイアス電圧のパルス周波数は、可動ステージ152、158、308の走査速度、ディザ周波数、または振動周波数に比例するよう選択することができる。
グレーティング154、302と、ターゲット150のうち少なくとも1つは、ターゲット150上のまたはターゲット150に近接する電荷を少なくとも部分的に中和する電位にバイアスがかけられうる。さらに、グレーティング154、302と、ターゲット150のうち少なくとも1つは、二次電子を含むようグレーティング154、302に対して正である電位にバイアスがかけられうる。また、グレーティング154、302は、ターゲット150上またはターゲット150に近接する電荷を少なくとも部分的に中和するよう周期的に接地されうる。
本発明によるプラズマドーピング方法は、相対的に高いスループットを有しうる。所望のイオン注入を実現するようグレーティング154、302、およびターゲット150にバイアスがかけられなければならない時は、一般的にターゲット150の寸法とは無関係である。さらに、本発明によるプラズマドーピング方法は、従来の低エネルギービームラインドーピングよりも、浅い接合をより経済的に且つ高効率で生成することができる。
図4は、グレーティング154、302の開口サイズを変更することの効果を示す引き出されたイオンのコンピュータシミュレーションを示す。グレーティング開口は、開口を通りグレーティング154、302とターゲット150との間のフィールドフリー領域内に入る電界の結果もたらされる引き出されたイオンの分散を阻止するために相対的に小さくなければならない。さらに、グレーティング開口は、プラズマからの電子の喪失を阻止するために相対的に小さくなければならない。
さらに、グレーティング開口は、ターゲット150の表面上への所望の衝突角度を維持するために相対的に小さくなければならない。一般的に、ターゲット150の表面上に衝突するイオンは、小さい角度分布を有する。これは、引き出されたイオンの軌道はグレーティング154の縁に沿って曲げられるからである。引き出されたイオンの軌道の屈曲は、一部の引き出されたイオンを、傾斜角度または所望の衝突角度とは異なる角度でターゲット150の表面に衝突させる。グレーティング154、302における開口のサイズを小さくすることにより、引き出されたイオンの角度分布を減少する。しかし、グレーティング154、302における開口のサイズを小さくするとイオン電流も低減する。
図4Aは、プラズマシース厚さとほぼ同じ寸法のスロットまたは開口幅を有するグレーティング154、302を通過する引き出されたイオンのコンピュータシミュレーション400を示す。コンピュータシミュレーション400は、引き出されたイオンの角度分布は約±10度であることを示す。コンピュータシミュレーション400は、プラズマシース厚さ以上のスロットおよび開口幅は、注入プロファイルを著しく変えてしまうのに十分に高い引き出しイオン角度分布を生成することを示す。
図4Bは、プラズマシース厚さの約半分のスロットまたは開口幅を有するグレーティング154、302を通過する引き出されたイオンのコンピュータシミュレーション402を示す。コンピュータシミュレーション402は、引き出されたイオンの角度分布は約±4.5度であることを示す。1スロットまたは開口あたりの引き出しイオン電流は、スロットまたは開口の幅がプラズマシース厚さとほぼ同じ寸法である図4に示す1スロットまたは開口あたりの引き出しイオン電流より約2倍低い。しかし、グレーティング154、302から引き出される総イオン電流は、グレーティングの充填比に依存する。
図4Cは、プラズマシース厚さの約4分の1であるスロットまたは開口幅を有するグレーティング154、302を通過する引き出されたイオンのコンピュータシミュレーション404を示す。このコンピュータシミュレーションは、引き出されたイオンの角度分布は約±2度であることを示す。1スロットまたは開口あたりの引き出しイオン電流は、スロットまたは開口の幅がプラズマシース厚さとほぼ同じ寸法である図4Aに示す1スロットまたは開口あたりの引き出しイオン電流より約4倍低い。しかし、グレーティング154、302から引き出しされる総イオン電流は、グレーティングの充填比に依存する。
シース厚さは、プラズマ密度とバイアス電圧の関数である。シース厚さは、プラズマ密度が減少すると増加する。シース厚さは、バイアス電圧を増加しても増加する。したがって、所望の開口幅は、注入エネルギーを増加すると増加する。コンピュータシミュレーションによって、引き出されたイオンの1度の角度分布は、開口幅をシース幅の8分の1に減少し、また、相対的に低密度のプラズマ(n=2X10cm−3)を発生することによって実現できることが示されている。
図5は、2つの引き出しイオンビーム間の相互作用を示す引き出されたイオンのコンピュータシミュレーションを示す。図5Aは、グレーティングスロットまたは開口幅と、2つの隣接するグレーティングスロットまたは開口間の分離は共に、1シース厚さに等しい引き出されたイオンのコンピュータシミュレーション500を示す。コンピュータシミュレーション500は、1シース厚さに等しい2つの隣接スロットまたは開口間の分離は、2つの引き出しイオンビーム間で感知できるほどの相互作用がないことを示す。
図5Bは、スロットまたは開口幅と、2つの隣接するスロットまたは開口間の分離は共に、2分の1のシース厚さに等しい引き出されたイオンのコンピュータシミュレーション502を示す。コンピュータシミュレーション502は、2分の1のシース厚さに等しい2つの隣接スロットまたは開口間の分離は、2つの引き出しイオンビーム間で感知できるほどの相互作用がないことを示す。
図5Cは、スロットまたは開口幅と、2つの隣接するスロットまたは開口間の分離は共に、8分の1のシース厚さに等しい引き出されたイオンのコンピュータシミュレーション504を示す。コンピュータシミュレーション504は、8分の1のシース厚さに等しい2つの隣接スロットまたは開口間の分離は、2つの引き出しイオンビーム間で感知できるほどの相互作用がないことを示す。0.5の充填比を有する8分の1のシース厚さに等しいスロットまたは開口幅を使用することによって、約±1度(n=2X10cm−3の場合)である相対的に低い角度分布と、相対的に均一なイオン束がもたらされる。
等価物
本教示内容は、様々な実施形態および例に関連して説明したが、本教示内容はそのような実施形態に限定されることを意図しない。むしろ、本教示内容は、当業者に理解されるように様々な代替案、変更、および等価物を包含し、これらは、請求項により定義する本発明の精神および範囲から逸脱することなく行いうる。
本発明による傾斜グレーティングを有するプラズマドーピング装置の一実施形態を示す図である。
本発明による傾斜プラテンを有するプラズマドーピング装置を示す図である。
本発明によるのこ歯状グレーティングを有するプラズマドーピング装置を示す図である。
グレーティングの開口サイズを変更することによる効果を説明する引き出されたイオンのコンピュータシミュレーションを示す図である。
2つの引き出されたイオンビーム間の相互作用を説明する引き出されたイオンのコンピュータシミュレーションを示す図である。

Claims (38)

  1. 一のチャンバと、
    一のフィードガスから前記チャンバ内に複数のイオンを発生させる一のプラズマ源と、
    前記チャンバ内に位置付けられる一のグレーティングと、
    前記チャンバ内に位置付けられる一の素材を支持する一のプラテンと、
    を含み、
    前記グレーティングは、前記グレーティングを通り引き出される複数のイオンが一の非法線入射角で前記素材に衝突するよう方向付けられる、プラズマ処理装置。
  2. 前記グレーティングおよび前記素材のうち少なくとも1つに電気接続される一の出力を有する一の電源をさらに含み、
    前記電源は、プラズマにおける複数のイオンが前記グレーティングを通り引き出され、前記非法線入射角で前記素材に衝突するよう前記グレーティングおよび前記素材のうち少なくとも1つにバイアスをかける請求項1に記載の装置。
  3. 一のチャンバと、
    一のドーパントガスから前記チャンバ内に複数のイオンを発生させる一のプラズマ源と、
    前記チャンバ内に位置付けられる一のグレーティングと、
    前記チャンバ内に位置付けられる一のターゲットを支持する一のプラテンと、
    を含み、
    前記グレーティングおよび前記ターゲットのうち少なくとも1つは、前記グレーティングから引き出される複数のドーパントイオンが一の非法線入射角で前記ターゲットに衝突するよう方向付けられる、プラズマドーピング装置。
  4. 前記プラズマ源は、一の誘電結合プラズマ源、一の容量結合プラズマ源、一のトロイダルプラズマ源、一のヘリコンプラズマ源、一のDCプラズマ源、一のリモートプラズマ源、および一のダウンストリームプラズマ源のうち少なくとも1つを含む請求項3に記載の装置。
  5. 前記グレーティングは、のこ歯形状に形成される請求項3に記載の装置。
  6. 前記グレーティングは、前記複数のイオンを通過させる複数の開口、複数のスロット、および一のメッシュのうち少なくとも1つを画成する請求項3に記載の装置。
  7. 前記グレーティングの面積は、前記ターゲットの面積より大きいかまたは前記ターゲット面積と等しい請求項3に記載の装置。
  8. 前記グレーティングおよび前記ターゲットは、同じ電位にある請求項3に記載の装置。
  9. 前記グレーティングは、一の非金属材料、および、一の非金属材料により被覆された一の金属材料のうち少なくとも1つから形成される請求項3に記載の装置。
  10. 前記グレーティングおよび前記ターゲットのうち少なくとも1つに電気接続される一の出力を有する一の電源をさらに含み、
    前記電源は、プラズマにおける複数のドーパントイオンが前記グレーティングから引き出され、前記非法線入射角で前記ターゲットに衝突するよう前記グレーティングおよび前記ターゲットのうち少なくとも1つにバイアスをかける請求項3に記載の装置。
  11. 前記電源は、一のDC電源、一のパルス電源、および一のRF電源のうち少なくとも1つを含む請求項10に記載の装置。
  12. 前記グレーティングに近接して位置付けられる一の電極をさらに含み、
    前記電極は、前記ターゲットにより発生される複数の電子の少なくとも一部が前記電極により吸収されるよう前記グレーティングと実質的に同じ電位である請求項3に記載の装置。
  13. 前記ターゲットに結合される一の並進ステージをさらに含み、
    前記並進ステージは、少なくとも1つの方向に前記グレーティングおよび前記ターゲットのうち少なくとも1つを走査する請求項3に記載の装置。
  14. 前記グレーティングおよび前記ターゲットのうち少なくとも1つに機械結合される少なくとも1つのオシレータをさらに含み、
    前記少なくとも1つのオシレータは、前記グレーティングおよび前記ターゲットのうち少なくとも1つを、前記グレーティングおよび前記ターゲットのうちのもう1つに対してディザリングする請求項3に記載の装置。
  15. 前記グレーティングおよび前記ターゲットのうち少なくとも1つに結合される少なくとも1つの回転ステージをさらに含み、
    前記少なくとも1つの回転ステージは、前記グレーティングおよび前記ターゲットのうち少なくとも1つを、前記グレーティングおよび前記ターゲットのうちのもう1つに対して回転する請求項3に記載の装置。
  16. 前記グレーティングに隣接して位置付けられる一の第2のグレーティングをさらに含む請求項3に記載の装置。
  17. 一のドーパントガスから一のチャンバ内に複数のドーパントイオンを含む一のプラズマを発生させる工程と、
    一のターゲットおよび一のグレーティングのうち少なくとも1つを、前記グレーティングから引き出される前記複数のドーパントイオンが一の非法線入射角で前記ターゲットに衝突するよう方向付ける工程と、
    を含む傾斜プラズマドーピング方法。
  18. 前記非法線入射角は、前記ターゲットにおいて複数のドーパントイオンの一の所定の横方向散乱を実現するよう選択される請求項17に記載の方法。
  19. 前記非法線入射角は、前記ターゲットへの複数のドーパントイオンのチャネリングを低減するよう選択される請求項17に記載の方法。
  20. 複数のドーパントイオンが前記グレーティングから引き出され、前記非法線入射角で前記ターゲットに衝突するよう前記グレーティングおよび前記ターゲットのうち少なくとも1つにバイアスをかける工程をさらに含む請求項17に記載の方法。
  21. 前記グレーティングおよび前記ターゲットのうち少なくとも1つにバイアスをかける工程は、前記ターゲットに対して前記グレーティングにバイアスをかける工程を含む請求項20に記載の方法。
  22. 前記グレーティングおよび前記ターゲットのうち前記少なくとも1つにバイアスをかける工程は、
    前記グレーティングおよび前記ターゲットのうちの1つにバイアスをかける工程と、
    前記グレーティングおよび前記ターゲットのうちのもう1つを浮遊させる工程と、
    を含む請求項20に記載の方法。
  23. 前記グレーティングおよび前記ターゲットのうち前記少なくとも1つにバイアスをかける工程は、前記グレーティングおよび前記ターゲットに時間的に同期でバイアスをかける工程を含む請求項20に記載の方法。
  24. 前記グレーティングおよび前記ターゲットのうち前記少なくとも1つにバイアスをかける工程は、前記グレーティングおよび前記ターゲットに時間的に非同期でバイアスをかける工程を含む請求項20に記載の方法。
  25. 前記グレーティングおよび前記ターゲットのうち前記少なくとも1つにバイアスをかける工程は、前記グレーティングおよび前記ターゲットのうち少なくとも1つに一のパルス周波数でパルシングする工程を含む請求項20に記載の方法。
  26. 前記パルス周波数は、前記グレーティングおよび前記ターゲットのうち少なくとも1つの一の走査速度に比例する請求項25に記載の方法。
  27. 前記ターゲット上または前記ターゲットに近接する電荷を少なくとも部分的に中和する一の電位となるよう前記グレーティングに周期的にバイアスをかける工程をさらに含む請求項17に記載の方法。
  28. 前記ターゲットにより発生される複数の二次電子を含むために前記グレーティングに対して正である一の電位で前記ターゲットにバイアスをかける工程をさらに含む請求項17に記載の方法。
  29. 前記ターゲット上または前記ターゲットに近接する電荷を少なくとも部分的に中和するよう接地電位で前記グレーティングを周期的に接地する工程をさらに含む請求項17に記載の方法。
  30. 接地電位における一の電位を有する一の表面を使用して前記ターゲットにより発生される複数の電子を吸収する工程をさらに含む請求項17に記載の方法。
  31. 前記ターゲットに近接して置かれる複数の電子の少なくとも一部を捕獲するよう前記グレーティングと前記ターゲットとの間の一の領域内に一の磁界を印加する工程をさらに含む請求項17に記載の方法。
  32. 前記ターゲットに衝突する前記ドーパントイオンの均一性を向上するために、少なくとも1つの方向において、前記ターゲットおよび前記グレーティングのうち少なくとも1つを前記ターゲットおよび前記グレーティングのうちのもう1つに対して並進させる工程をさらに含む請求項17に記載の方法。
  33. 前記ターゲットに衝突する前記ドーパントイオンの均一性を向上するために、前記ターゲットおよび前記グレーティングのうち少なくとも1つを前記ターゲットおよび前記グレーティングのうちのもう1つに対して回転させる工程をさらに含む請求項17に記載の方法。
  34. 一の多段ドーパントイオン注入を制御するよう前記ターゲットおよび前記グレーティングのうち少なくとも1つを前記ターゲットおよび前記グレーティングのうちのもう1つに対して回転させる工程をさらに含む請求項17に記載の方法。
  35. 前記ターゲットおよび前記グレーティングのうち少なくとも1つをディザリングする工程をさらに含む請求項17に記載の方法。
  36. 前記第1のグレーティングに隣接する一の第2のグレーティングを、前記第2のグレーティングから引き出される複数のドーパントイオンが前記非法線入射角で前記ターゲットに衝突するよう方向付ける工程をさらに含む請求項17に記載の方法。
  37. 前記第2のグレーティングの一の電位は、前記グレーティングの一の電位と異なる請求項36に記載の方法。
  38. 一のチャンバ内に位置付けられる一のプラテン上に一のデバイスを位置付ける工程と、
    一のドーパントガスから前記チャンバ内に複数のドーパントイオンを含む一のプラズマを発生させる工程と、
    前記デバイスと一のグレーティングのうち少なくとも1つを、前記グレーティングから引き出される前記複数のドーパントイオンが一の非法線入射角で前記デバイスに衝突するよう方向付ける工程と、
    前記プラズマにおける複数のドーパントイオンが前記グレーティングから引き出され、前記非法線入射角で前記デバイスに衝突するよう前記グレーティングおよび前記デバイスのうち少なくとも1つにバイアスをかける工程と、
    を含むトレンチサイドウォールドーピング方法。
JP2008509065A 2005-04-25 2006-04-25 傾斜プラズマドーピング Pending JP2008539595A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/908,009 US20060236931A1 (en) 2005-04-25 2005-04-25 Tilted Plasma Doping
PCT/US2006/015736 WO2006116459A1 (en) 2005-04-25 2006-04-25 Tilted plasma doping

Publications (1)

Publication Number Publication Date
JP2008539595A true JP2008539595A (ja) 2008-11-13

Family

ID=36832932

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008509065A Pending JP2008539595A (ja) 2005-04-25 2006-04-25 傾斜プラズマドーピング

Country Status (6)

Country Link
US (2) US20060236931A1 (ja)
JP (1) JP2008539595A (ja)
KR (1) KR20080002957A (ja)
CN (1) CN101167155A (ja)
TW (1) TW200710960A (ja)
WO (1) WO2006116459A1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010541249A (ja) * 2007-09-28 2010-12-24 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド プラズマ処理装置内の電荷中和
KR20110135871A (ko) * 2009-04-03 2011-12-19 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 플라즈마 처리 장치
JP2012523123A (ja) * 2009-04-03 2012-09-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマシース工学を利用した、向上したエッチングおよび堆積プロフィール制御
JP2013511817A (ja) * 2009-11-24 2013-04-04 コリア エレクトロテクノロジー リサーチ インスティテュート プラズマ浸漬イオンを用いた加工装置及び方法
JP2013516071A (ja) * 2009-12-23 2013-05-09 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマシース変調によるワークピースのパターニング
JP2014529166A (ja) * 2011-08-16 2014-10-30 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 三次元イオン処理装置及び方法
JP2016029642A (ja) * 2014-05-30 2016-03-03 ラム リサーチ コーポレーションLam Research Corporation 中空陰極放電(hcd)を抑制する容量結合プラズマ電極およびガス分配フェースプレート
JP2016524787A (ja) * 2013-05-09 2016-08-18 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマ処理システム内の真空シールを保護するためのシステムおよび方法
JP2016540360A (ja) * 2013-09-07 2016-12-22 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 動電極プラズマシステム
JP2018507514A (ja) * 2015-02-06 2018-03-15 エムケイエス インストゥルメンツ, インコーポレイテッド 自己共振装置を備えたプラズマ点火装置および方法
JP2018517229A (ja) * 2015-03-27 2018-06-28 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 傾斜イオンビームのためのマルチアパーチャ引き出しシステム
JP2020521322A (ja) * 2017-05-19 2020-07-16 トタル ソシエテ アノニムTotal Sa パターン構造加工のための装置と方法

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
EP1630849B1 (en) * 2004-08-27 2011-11-02 Fei Company Localized plasma processing
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US7667208B2 (en) * 2006-10-17 2010-02-23 Varian Semiconductor Equipment Associates, Inc. Technique for confining secondary electrons in plasma-based ion implantation
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20080204795A1 (en) * 2007-02-23 2008-08-28 Samsung Electronics Co., Ltd. Data transmission apparatus and method of controlling the same and method of processing data to be printed onto a printable medium
WO2008123391A2 (en) * 2007-03-23 2008-10-16 Panasonic Corporation Apparatus and method for plasma doping
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
US20090017229A1 (en) * 2007-07-10 2009-01-15 Varian Semiconductor Equipment Associates, Inc. Processing System Platen having a Variable Thermal Conductivity Profile
US20090104761A1 (en) * 2007-10-19 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System With Charge Control
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
KR100919763B1 (ko) * 2008-02-11 2009-10-07 성균관대학교산학협력단 중성빔을 이용한 기판 표면의 조성 혼입 장치 및 방법
US7586100B2 (en) * 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US7723219B2 (en) * 2008-02-22 2010-05-25 Applied Materials, Inc. Plasma immersion ion implantation process with reduced polysilicon gate loss and reduced particle deposition
US20090227096A1 (en) * 2008-03-07 2009-09-10 Varian Semiconductor Equipment Associates, Inc. Method Of Forming A Retrograde Material Profile Using Ion Implantation
KR100999588B1 (ko) * 2008-03-25 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US7927986B2 (en) * 2008-07-22 2011-04-19 Varian Semiconductor Equipment Associates, Inc. Ion implantation with heavy halogenide compounds
JP2010050188A (ja) * 2008-08-20 2010-03-04 Panasonic Corp プラズマドーピング装置
US20100048018A1 (en) * 2008-08-25 2010-02-25 Varian Semiconductor Equipment Associates, Inc. Doped Layers for Reducing Electromigration
US8142607B2 (en) * 2008-08-28 2012-03-27 Varian Semiconductor Equipment Associates, Inc. High density helicon plasma source for wide ribbon ion beam generation
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US8877654B2 (en) * 2010-04-15 2014-11-04 Varian Semiconductor Equipment Associates, Inc. Pulsed plasma to affect conformal processing
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
US8716682B2 (en) * 2011-04-04 2014-05-06 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for multiple slot ion implantation
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9297063B2 (en) 2012-04-26 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Plasma potential modulated ion implantation system
JP6271235B2 (ja) 2013-01-24 2018-01-31 キヤノンアネルバ株式会社 フィンfetの製造方法およびデバイスの製造方法
CN105122419B (zh) * 2013-03-15 2017-08-01 星火工业有限公司 用于在等离子体离子源腔室中产生等离子体离子源的装置和方法
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
US9589769B2 (en) * 2014-07-09 2017-03-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for efficient materials use during substrate processing
US20170178866A1 (en) * 2015-12-22 2017-06-22 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for time modulated extraction of an ion beam
CN106093094B (zh) * 2016-07-19 2019-07-23 西安交通大学 一种介质材料的二次电子能谱测量装置及测量方法
CA3111285A1 (en) * 2018-09-07 2020-03-12 The Heart Research Institute Ltd Plasma polymerisation apparatus
JP7447118B2 (ja) 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
US20210020405A1 (en) * 2019-07-18 2021-01-21 Tokyo Electron Limited Equipment and methods for plasma processing
KR102537241B1 (ko) * 2021-02-18 2023-05-26 (주)엘오티씨이에스 배기가스 처리용 유도결합 플라즈마 장치 및 이의 임피던스 매칭 방법
CN115376873A (zh) * 2021-05-18 2022-11-22 江苏鲁汶仪器有限公司 离子源装置及其使用方法和真空处理系统
CN115376870A (zh) * 2021-05-18 2022-11-22 江苏鲁汶仪器有限公司 一种等离子密度可调的离子源装置

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3376921D1 (en) * 1982-09-10 1988-07-07 Nippon Telegraph & Telephone Ion shower apparatus
JPS5969142A (ja) * 1982-10-13 1984-04-19 Toshiba Corp 膜形成方法及び膜形成装置
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
JP2537210B2 (ja) * 1986-09-18 1996-09-25 株式会社東芝 高密度プラズマの発生装置
US4861729A (en) * 1987-08-24 1989-08-29 Matsushita Electric Industrial Co., Ltd. Method of doping impurities into sidewall of trench by use of plasma source
US5080772A (en) * 1990-08-24 1992-01-14 Materials Research Corporation Method of improving ion flux distribution uniformity on a substrate
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5212580A (en) * 1992-02-12 1993-05-18 High Yield Technology Low cost stage for raster scanning of semiconductor wafers
US5330800A (en) * 1992-11-04 1994-07-19 Hughes Aircraft Company High impedance plasma ion implantation method and apparatus
GB9405442D0 (en) * 1994-03-19 1994-05-04 Applied Vision Ltd Apparatus for coating substrates
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
JP3339200B2 (ja) * 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
JP3186066B2 (ja) * 1996-01-23 2001-07-11 フラウンホーファー ゲゼルシャフト ツア フォルデルンク デア アンゲヴァンテン フォルシュンク エー ファウ イオンの広範囲注入のためのイオン源
US5702573A (en) * 1996-01-29 1997-12-30 Varian Associates, Inc. Method and apparatus for improved low pressure collimated magnetron sputter deposition of metal films
US7118996B1 (en) * 1996-05-15 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US5897363A (en) * 1996-05-29 1999-04-27 Micron Technology, Inc. Shallow junction formation using multiple implant sources
DE19621874C2 (de) * 1996-05-31 2000-10-12 Karlsruhe Forschzent Quelle zur Erzeugung von großflächigen, gepulsten Ionen- und Elektronenstrahlen
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
JP3599564B2 (ja) * 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
JPH1116858A (ja) * 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6200441B1 (en) * 1997-08-27 2001-03-13 Western Digital Corporation Multiple station vacuum deposition apparatus for texturing a substrate using a scanning beam
US6521081B2 (en) * 1997-12-05 2003-02-18 Tegal Corporation Deposition shield for a plasma reactor
US6269765B1 (en) * 1998-02-11 2001-08-07 Silicon Genesis Corporation Collection devices for plasma immersion ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6231933B1 (en) * 1999-03-18 2001-05-15 Primaxx, Inc. Method and apparatus for metal oxide chemical vapor deposition on a substrate surface
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US20010046566A1 (en) * 2000-03-23 2001-11-29 Chu Paul K. Apparatus and method for direct current plasma immersion ion implantation
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP4073174B2 (ja) * 2001-03-26 2008-04-09 株式会社荏原製作所 中性粒子ビーム処理装置
SG126681A1 (en) * 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US6716727B2 (en) * 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
JP3713683B2 (ja) * 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
KR100851901B1 (ko) * 2005-01-07 2008-08-13 삼성전자주식회사 이온 빔 추출장치
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US7812321B2 (en) * 2008-06-11 2010-10-12 Varian Semiconductor Equipment Associates, Inc. Techniques for providing a multimode ion source
US7767986B2 (en) * 2008-06-20 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for controlling beam current uniformity in an ion implanter
US8466431B2 (en) * 2009-02-12 2013-06-18 Varian Semiconductor Equipment Associates, Inc. Techniques for improving extracted ion beam quality using high-transparency electrodes

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010541249A (ja) * 2007-09-28 2010-12-24 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド プラズマ処理装置内の電荷中和
KR101707563B1 (ko) * 2009-04-03 2017-02-16 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 플라즈마 처리 장치
KR20110135871A (ko) * 2009-04-03 2011-12-19 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 플라즈마 처리 장치
JP2012523122A (ja) * 2009-04-03 2012-09-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマ処理装置
JP2012523123A (ja) * 2009-04-03 2012-09-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマシース工学を利用した、向上したエッチングおよび堆積プロフィール制御
KR101811790B1 (ko) * 2009-04-03 2017-12-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 플라즈마 처리 장치
JP2013511817A (ja) * 2009-11-24 2013-04-04 コリア エレクトロテクノロジー リサーチ インスティテュート プラズマ浸漬イオンを用いた加工装置及び方法
JP2013516071A (ja) * 2009-12-23 2013-05-09 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマシース変調によるワークピースのパターニング
JP2014529166A (ja) * 2011-08-16 2014-10-30 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 三次元イオン処理装置及び方法
JP2016524787A (ja) * 2013-05-09 2016-08-18 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマ処理システム内の真空シールを保護するためのシステムおよび方法
JP2016540360A (ja) * 2013-09-07 2016-12-22 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 動電極プラズマシステム
JP2016029642A (ja) * 2014-05-30 2016-03-03 ラム リサーチ コーポレーションLam Research Corporation 中空陰極放電(hcd)を抑制する容量結合プラズマ電極およびガス分配フェースプレート
JP2018507514A (ja) * 2015-02-06 2018-03-15 エムケイエス インストゥルメンツ, インコーポレイテッド 自己共振装置を備えたプラズマ点火装置および方法
JP2020115468A (ja) * 2015-02-06 2020-07-30 エムケイエス インストゥルメンツ, インコーポレイテッド 自己共振装置を備えたプラズマ点火装置および方法
JP2018517229A (ja) * 2015-03-27 2018-06-28 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 傾斜イオンビームのためのマルチアパーチャ引き出しシステム
JP2020521322A (ja) * 2017-05-19 2020-07-16 トタル ソシエテ アノニムTotal Sa パターン構造加工のための装置と方法
JP7335815B2 (ja) 2017-05-19 2023-08-30 トタルエネルジ エスウ パターン構造加工のための装置と方法

Also Published As

Publication number Publication date
KR20080002957A (ko) 2008-01-04
TW200710960A (en) 2007-03-16
WO2006116459A1 (en) 2006-11-02
CN101167155A (zh) 2008-04-23
US20060236931A1 (en) 2006-10-26
US20080317968A1 (en) 2008-12-25

Similar Documents

Publication Publication Date Title
JP2008539595A (ja) 傾斜プラズマドーピング
US20080132046A1 (en) Plasma Doping With Electronically Controllable Implant Angle
US7524743B2 (en) Conformal doping apparatus and method
JP5745843B2 (ja) 強化された電荷中和及びプロセス制御を具えたプラズマ処理装置
CN103109342B (zh) 用于等离子体处理衬底的技术
US6902683B1 (en) Plasma processing apparatus and plasma processing method
US6197151B1 (en) Plasma processing apparatus and plasma processing method
TWI423735B (zh) 具有導電性頂端區域之射頻電漿源
US20070084564A1 (en) Conformal doping apparatus and method
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
US7820533B2 (en) Multi-step plasma doping with improved dose control
JP2012523120A (ja) プラズマ処理装置
WO2006063035A2 (en) Plasma ion implantation system with axial electrostatic confinement
KR102565876B1 (ko) 반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법
TWI428965B (zh) 電漿摻雜設備與共形電漿摻雜方法
JP2010532919A5 (ja)
US8877654B2 (en) Pulsed plasma to affect conformal processing