KR102565876B1 - 반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법 - Google Patents

반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법 Download PDF

Info

Publication number
KR102565876B1
KR102565876B1 KR1020217001926A KR20217001926A KR102565876B1 KR 102565876 B1 KR102565876 B1 KR 102565876B1 KR 1020217001926 A KR1020217001926 A KR 1020217001926A KR 20217001926 A KR20217001926 A KR 20217001926A KR 102565876 B1 KR102565876 B1 KR 102565876B1
Authority
KR
South Korea
Prior art keywords
workpiece
delete delete
plasma source
ion beam
ions
Prior art date
Application number
KR1020217001926A
Other languages
English (en)
Other versions
KR20210014199A (ko
Inventor
크리스토퍼 하템
피터 에프. 쿠룬치
크리스토퍼 에이. 로랜드
조셉 씨. 올슨
앤소니 르나우
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority to KR1020237026470A priority Critical patent/KR20230119044A/ko
Publication of KR20210014199A publication Critical patent/KR20210014199A/ko
Application granted granted Critical
Publication of KR102565876B1 publication Critical patent/KR102565876B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/36Gas-filled discharge tubes for cleaning surfaces while plating with ions of materials introduced into the discharge, e.g. introduced by evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

이온 빔과 함께 사용하기 위한 작업물에 근접하여 배치되는 보조 플라즈마 소스를 갖는 시스템이 개시된다. 보조 플라즈마 소스는 작업물을 향해 드리프트(drift)하며 필름을 형성할 수 있는 이온들 및 라디칼들을 생성하기 위해 사용된다. 그런 다음, 이온 빔은, 이온들 및 라디칼들이 작업물을 프로세싱할 수 있도록 에너지를 제공하기 위해 사용된다. 추가로, 시스템의 다양한 애플리케이션들이 개시된다. 예를 들어, 시스템은, 증착, 주입, 에칭, 사전-처리 및 후-처리를 포함하는 다양한 프로세스들에 대해 사용될 수 있다. 작업물에 가깝게 보조 플라즈마 소스를 위치시킴으로써, 이전에는 가능하지 않았던 프로세스들이 수행될 수 있다. 추가로, 세정 및 주입 또는 주입 및 패시베이팅과 같은 2개의 비유사한 프로세스들이 엔드 스테이션으로부터 작업물을 제거하지 않고 수행될 수 있다.

Description

반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법
본 출원은 2018년 06월 22일자로 출원된 미국 특허 출원 일련번호 제16/015,323호에 대한 우선권을 주장하며, 이러한 출원의 개시내용은 그 전체가 본원에 참조로서 통합된다.
본 개시의 실시예들은 인라인(inline) 표면 엔지니어링 소스를 사용하는 반도체 프로세싱 시스템들 및 방법들에 관한 것으로서, 보다 더 구체적으로, 이온 빔과 함께 사용하기 위한 작업물에 근접한 이온들 및 라디칼들의 소스의 사용에 관한 것이다.
반도체 디바이스의 제조는 복수의 개별적이고 복잡한 프로세스들을 수반한다. 하나의 이러한 프로세스는, 도펀트 재료가 작업물 내로 주입되는 주입 프로세스일 수 있다. 다른 프로세스는, 재료가 작업물 상에 증착되는 증착 프로세스일 수 있다. 또 다른 프로세스는, 재료가 작업물로부터 제거되는 에칭 프로세스일 수 있다.
희망되는 경로를 따라 이온들을 보내기 위하여, 전극들, 질량 분석기들, 사중극자 렌즈들, 및 가속/감속 스테이지들과 같은 복수의 컴포넌트들을 갖는 빔 라인 시스템이 사용된다. 광학 시스템과 같이, 빔 라인 시스템은 이온들의 경로를 구부리고 이온들을 포커싱함으로써 이온들을 조작한다.
일부 실시예들에 있어서, 리본 이온 빔이 생성된다. 리본 이온 빔은, 높이보다 훨씬 더 넓은 이온 빔이다. 달리 말하면, 작업물에서 측정되는 그것의 높이로 나눈 그것의 폭으로 정의되는 리본 이온 빔의 종횡비는 매우 높을 수 있으며, 예컨대 20보다 더 클 수 있다. 일부 실시예들에 있어서, 리본 빔의 폭은 프로세싱되는 작업물의 직경보다 더 넓다.
수년에 걸쳐, 이온 주입기들은 에너지 필터들, 콜리메이터(collimator)들, 스캐닝 시스템들 및 최근에는 분자 이온 소스들, 초저온 및 상승된 주입 온도 성능들의 사용을 통합하는 매우 정교한 툴들이 되었다. 메모리 및 로직 아키텍처들이 계속해서 확장되고 게이트-올-어라운드(Gate-all-around)와 같은 복잡한 구조체들이 가능해짐에 따라, 이온 주입에 따라 표면 인접 프로세스(near surface process)들을 제어하고 수정하기 위한 요구가 증가하고 있다. 이러한 표면 인접 프로세스들은 자생(native) 산화물 세정, 표면 패시베이션(passivation), 결함을 감소시키기 위한 새로운 손상 엔지니어링 기술들, 표면으로부터 빔라인의 전형적인 역행(retrograde) 프로파일들까지의 단계화된 프로파일들, 및 이온 빔 자체가 표면 상의 반응에 대한 촉매인 선택적 프로세싱을 포함할 수 있다.
따라서, 이온 빔과 함께 작업물 상의 표면 인접 프로세스들을 수행하기 위하여 작업물에 근접하여 인라인 표면 엔지니어링 소스를 생성하기 위한 반도체 프로세싱 시스템 및 방법이 존재하는 경우 유익할 것이다.
이온 빔과 함께 사용하기 위한 작업물에 근접하여 배치되는 보조 플라즈마 소스를 갖는 시스템이 개시된다. 보조 플라즈마 소스는 작업물을 향해 드리프트(drift)하며 필름을 형성할 수 있는 이온들 및 라디칼들을 생성하기 위해 사용된다. 그런 다음, 이온 빔은, 이온들 및 라디칼들이 작업물을 프로세싱할 수 있도록 에너지를 제공하기 위해 사용된다. 추가로, 시스템의 다양한 애플리케이션들이 개시된다. 예를 들어, 시스템은, 증착, 주입, 에칭, 사전-처리 및 후-처리를 포함하는 다양한 프로세스들에 대해 사용될 수 있다. 작업물에 가깝게 보조 플라즈마 소스를 위치시킴으로써, 이전에는 가능하지 않았던 프로세스들이 수행될 수 있다. 추가로, 세정 및 주입 또는 주입 및 패시베이팅과 같은 2개의 비유사한 프로세스들이 엔드 스테이션으로부터 작업물을 제거하지 않고 수행될 수 있다.
일 실시예에 따르면, 반도체 프로세싱 시스템이 개시된다. 반도체 프로세싱 시스템은, 이온 빔을 생성하기 위한 이온 소스; 및 작업물에 근접하여 배치되는 보조 플라즈마 소스를 포함하며, 보조 플라즈마 소스는 작업물을 향해 이온들 및 라디칼들을 방출하도록 배향된 출구 개구를 갖는다. 일부 실시예들에 있어서, 이온 소스는 빔 라인 이온 시스템의 컴포넌트이다. 특정 실시예들에 있어서, 보조 플라즈마 소스는, 외부 하우징, 외부 하우징 내에 배치되는 안테나, 및 안테나와 연통하는 RF 전원 공급장치를 포함한다. 다른 실시예들에 있어서, 보조 플라즈마 소스는, 외부 하우징, 외부 하우징 외부에 그리고 외부 하우징의 벽에 근접하여 배치되는 안테나, 및 안테나와 연통하는 RF 전원 공급장치를 포함한다. 특정 실시예들에 있어서, 출구 개구는, 라디칼들 및 이온들이, 이온 빔이 작업물에 충돌하는 위치에서 작업물을 향해 보내지도록 배향된다. 다른 실시예들에 있어서, 출구 개구는, 라디칼들 및 이온들이 이온 빔에 평행한 방향으로 작업물을 향해 보내지도록 배향된다. 특정 실시예들에 있어서, 보조 플라즈마 소스는, 이온들이 작업물로 끌어당겨지도록 바이어싱된다. 일부 실시예들에 있어서, 시스템은, 내부에 작업물이 배치되는 엔드 스테이션을 포함하며, 여기에서 보조 플라즈마 소스는 엔드 스테이션 내에 배치된다. 일부 실시예들에 있어서, 가열기가 엔드 스테이션 내에 배치된다. 특정 실시예들에 있어서, 보조 플라즈마 소스와는 별개의 플라즈마 플러드 건(flood gun)이 엔드 스테이션 내에 배치된다.
다른 실시예에 따르면, 작업물 내로 희망되는 종의 이온들을 주입하는 방법이 개시된다. 방법은, 희망되는 종을 포함하는 가스를 사용하여 보조 플라즈마 소스 내에서 플라즈마를 생성하는 단계로서, 보조 플라즈마 소스는 작업물에 근접하여 위치되며, 플라즈마로부터의 이온들 및 라디칼들은 보조 플라즈마 소스를 빠져나와 작업물을 향해 이동하는, 단계; 및 보조 플라즈마 소스와는 상이한 이온 소스를 사용하여 생성된 이온 빔을 사용하여, 보조 플라즈마 소스로부터의 이온들 및 라디칼들을 작업물 내로 때려 넣는(knocking) 단계를 포함한다. 일부 실시예들에 있어서, 이온 빔은 비활성 종을 포함한다. 특정 실시예들에 있어서, 플라즈마로부터의 이온들 및 라디칼들은, 이온 빔이 작업물에 충돌하는 위치에서 작업물을 향해 보내진다. 일부 실시예들에 있어서, 이온들 및 라디칼들은, 이온 빔이 작업물에 충돌하는 위치에서 작업물의 표면 상에 필름을 형성한다. 특정 실시예들에 있어서, 희망되는 종은 III 족, IV 족 또는 V 족 원소를 포함하는 분자를 포함한다.
다른 실시예에 따르면, 작업물을 프로세싱하는 방법이 개시된다. 방법은, 에칭 종을 포함하는 가스를 사용하여 보조 플라즈마 소스 내에서 플라즈마를 생성하는 단계로서, 보조 플라즈마 소스는 엔드 스테이션 내에서 작업물에 근접하여 위치되고, 플라즈마로부터의 이온들 및 라디칼들은 보조 플라즈마 소스를 빠져나와 작업물의 표면 상의 자생 산화물 층과 반응하는, 단계; 반응 이후에 작업물을 가열하는 단계로서, 열은 작업물 상의 자생 산화물 층의 승화를 야기하는, 단계; 및 보조 플라즈마 소스와는 상이한 이온 소스를 사용하여 생성된 이온 빔을 사용하여 작업물에 대해 추가적인 프로세스를 수행하는 단계로서, 작업물은 엔드 스테이션 내에 남아 있는, 단계를 포함한다. 특정 실시예들에 있어서, 에칭 종은 암모니아 및 NF3으로 구성된 그룹으로부터 선택된다. 일부 실시예들에 있어서, 작업물은, 작업물의 일 부분이 플라즈마에 노출되고 그런 다음 열에 노출되도록 스캔된다. 일부 실시예들에 있어서, 생성하는 단계 및 가열하는 단계는, 작업물의 표면이 세정될 때까지 반복된다.
다른 실시예에 따르면, 작업물을 에칭하는 방법이 개시된다. 방법은, 에칭 종을 포함하는 가스를 사용하여 보조 플라즈마 소스 내에서 플라즈마를 생성하는 단계로서, 보조 플라즈마 소스는 작업물에 근접하여 위치되며, 플라즈마로부터의 이온들 및 라디칼들은 작업물의 일 부분을 향해 보조 플라즈마 소스를 빠져나오고 작업물의 부분 상에 필름을 형성하는, 단계; 및 작업물을 에칭하기 위한 에너지를 제공하기 위하여, 보조 플라즈마 소스와는 상이한 이온 소스를 사용하여 생성된 이온 빔을 사용하는 단계를 포함한다. 특정 실시예들에 있어서, 에칭 종은 할로겐을 포함한다. 일부 실시예들에 있어서, 에칭 종은 CF4 및 산소를 포함한다. 특정 실시예들에 있어서, 에칭 종은 할로겐을 포함하며, 자생 산화물 층이 에칭된다. 일부 실시예들에 있어서, 이온 빔은 비활성 가스를 포함한다. 특정 실시예들에 있어서, 이온 빔은, 필름이 생성되는 동안 작업물의 부분으로 보내진다. 특정 실시예들에 있어서, 이온 빔은, 필름이 생성된 이후에 작업물의 부분으로 보내진다.
다른 실시예에 따르면, 작업물 상에 재료를 증착하는 방법이 개시된다. 방법은, 프로세스 가스를 사용하여 보조 플라즈마 소스 내에서 플라즈마를 생성하는 단계로서, 보조 플라즈마 소스는 작업물에 근접하여 위치되며, 플라즈마로부터의 이온들 및 라디칼들은 작업물의 일 부분을 향해 보조 플라즈마 소스를 빠져나오고, 작업물의 부분 상에 필름을 형성하는, 단계; 및 작업물 상에 재료를 증착하기 위한 에너지를 제공하기 위하여, 보조 플라즈마 소스와는 상이한 이온 소스를 사용하여 생성된 이온 빔을 사용하는 단계를 포함한다. 특정 실시예들에 있어서, 프로세스 가스는 CH4를 포함하며, 이온 빔은 IV 족 원소를 포함한다. 일부 실시예들에 있어서, 오로지 이온 빔만을 사용하여 생성되는 것보다 더 박스형의 프로파일이 생성된다.
다른 실시예에 따르면, 작업물을 프로세싱하는 방법이 개시된다. 방법은, 이온 빔을 사용하여 작업물을 향해 이온들을 보내는 단계; 프로세스 가스를 사용하여 보조 플라즈마 소스 내에서 플라즈마를 생성하는 단계로서, 보조 플라즈마 소스는 엔드 스테이션 내에서 작업물에 근접하여 위치되고, 플라즈마로부터의 이온들 및 라디칼들은 보조 플라즈마 소스를 빠져나와서 작업물의 표면과 반응하는, 단계; 및 반응 이후에 작업물을 가열하는 단계로서, 열은 작업물의 표면 상의 이온 빔에 의해 초래된 손상들을 복구하는, 단계를 포함한다. 특정 실시예들에 있어서, 이온 빔은 작업물 내로 이온들을 주입하기 위해 사용된다. 특정 실시예들에 있어서, 작업물은, 작업물의 일 부분이 플라즈마에 노출되고 그런 다음 열에 노출되도록 스캔된다. 일부 실시예들에 있어서, 작업물은 100° 내지 500°C 사이의 온도까지 가열된다. 특정 실시예들에 있어서, 프로세스 가스는 수소/질소 혼합물을 포함한다. 일부 실시예들에 있어서, 생성하는 단계 및 가열하는 단계 이전에 작업물의 전체가 이온 빔에 의해 프로세싱된다. 특정 실시예들에 있어서, 작업물의 제 1 부분은 이온 빔에 의해 프로세싱되며, 동시에 제 2 부분은 플라즈마와 반응된다.
본 개시의 더 양호한 이해를 위하여, 본원에 참조로서 포함되는 첨부된 도면들에 대한 참조가 이루어진다.
도 1은 일 실시예에 따른 인라인 표면 엔지니어링 소스와 함께 사용될 수 있는 리본 이온 빔을 생성하기 위한 빔-라인 주입 시스템의 대표도이다.
도 2는 일 실시예에 따른 도 1의 엔드 스테이션을 도시한다.
도 3a는 일 실시예에 따른 도 2의 보조 플라즈마 소스를 도시한다.
도 3b는 다른 실시예에 따른 도 2의 보조 플라즈마 소스를 도시한다.
도 4a는 일 실시예에 따른 도 2의 엔드 스테이션의 구성을 도시한다.
도 4b는 다른 실시예에 따른 도 2의 엔드 스테이션의 구성을 도시한다.
도 5는 도 4a의 엔드 스테이션을 사용하는 이온 주입을 도시한다.
도 6은 사전-처리 프로세스의 대표적인 순서도를 도시한다.
도 7은 하나의 후-처리 프로세스의 대표적인 순서도를 도시한다.
도 8은 다른 실시예에 따른 인라인 표면 엔지니어링 소스를 사용하는 반도체 프로세싱 시스템을 도시한다.
도 1은 일 실시예에 따른 인라인 표면 엔지니어링 소스와 함께 사용될 수 있는 빔 라인 이온 주입 시스템을 도시한다. 빔 라인 이온 주입 시스템은 리본 이온 빔을 사용하여 작업물을 프로세싱하기 위해 사용될 수 있다.
빔 라인 이온 주입 시스템은, 이온 소스 챔버를 획정(define)하는 복수의 챔버 벽들을 포함하는 이온 소스(100)를 포함한다. 특정 실시예들에 있어서, 이온 소스(100)는 RF 이온 소스일 수 있다. 이러한 실시예에 있어서, RF 안테나는 유전체 윈도우에 대하여 배치될 수 있다. 이러한 유전체 윈도우는 챔버 벽들 중 하나의 부분 또는 전부를 포함할 수 있다. RF 안테나는 구리와 같은 전기 전도성 재료를 포함할 수 있다. RF 전원 공급장치는 RF 안테나와 전기적으로 연통한다. RF 전원 공급장치는 RF 안테나에 RF 전압을 공급할 수 있다. RF 전원 공급장치에 의해 공급되는 전력은 0.1 내지 10 kW 사이일 수 있으며, 임의의 적절한 주파수 예컨대 1 내지 100 MHz 사이일 수 있다. 추가로, RF 전원 공급장치에 의해 공급되는 전력이 펄스화될 수 있다.
다른 실시예에 있어서, 캐소드(cathode)가 이온 소스 챔버 내에 배치된다. 필라멘트는 캐소드 뒤에 배치되고, 전자들을 방출하도록 에너지가 공급된다. 이러한 전자들은 캐소드로 끌어당겨지며, 이는 결과적으로 전자들을 이온 소스 챔버 내로 방출한다. 캐소드가 필라멘트로부터 방출되는 전자들에 의해 간접적으로 가열되기 때문에, 이러한 캐소드는 간접 가열식 캐소드(indirectly heated cathode; IHC)로 지칭될 수 있다.
다른 실시예들이 또한 가능하다. 예를 들어, 플라즈마는, 상이한 방식으로, 예컨대 버나스 이온 소스, 용량 결합 플라즈마(capacitively coupled plasma; CCP) 소스, 마이크로파 또는 ECR(전자-사이클로트론-공진(electron-cyclotron-resonance)) 이온 소스에 의해 생성될 수 있다. 플라즈마가 생성되는 방식은 본 개시에 의해 제한되지 않는다.
추출 플레이트로 지칭되는 하나의 챔버 벽이 추출 개구를 포함한다. 추출 개구는, 이를 통해 이온 소스 챔버 내에서 생성되는 이온들(1)이 추출되고 작업물(10)을 향해 보내지는 개구부일 수 있다. 작업물(10)은 실리콘 웨이퍼일 수 있거나, 또는 GaAs, GaN 또는 GaP와 같은 반도체 제조에 적절한 다른 기판일 수 있다. 추출 개구는 임의의 적절한 형상일 수 있다. 특정 실시예들에 있어서, 추출 개구는, 높이(y-치수)로서 지칭되는 제 2 치수보다 훨씬 더 클 수 있는 폭(x-치수)으로서 지칭되는 하나의 치수를 갖는 타원형 또는 직사각형 형상일 수 있다.
추출 광학부(110)가 이온 소스(100)의 추출 개구 외부에 그리고 이에 근접하여 배치된다. 특정 실시예들에 있어서, 추출 광학부(110)는 하나 이상의 전극들을 포함한다. 각각의 전극은 그 안에 배치된 개구를 갖는 단일의 전기 전도성 컴포넌트일 수 있다. 대안적으로, 각각의 전극은 2개의 컴포넌트들 사이에 개구를 생성하기 위하여 이격된 2개의 전기 전도성 컴포넌트들로 구성될 수 있다. 전극들은 텅스텐, 몰리브데넘 또는 티타늄과 같은 금속일 수 있다. 전극들 중 하나 이상은 접지에 전기적으로 연결될 수 있다. 특정 실시예들에 있어서, 전극들 중 하나 이상은 전극 전원 공급장치를 사용하여 바이어싱될 수 있다. 전극 전원 공급장치는 추출 개구를 통해 이온들을 끌어당기기 위하여 이온 소스에 대하여 전극들 중 하나 이상을 바이어싱하기 위해 사용될 수 있다. 추출 개구 및 추출 광학부 내의 개구는, 이온들(1)이 개구들 둘 모두를 통과하도록 정렬된다.
제 1 사중극자 렌즈(120)가 추출 광학부(110)로부터 하류측에 위치된다. 제 1 사중극자 렌즈(120)는 이온들(1)을 이온 빔으로 포커싱하기 위해 시스템 내의 다른 사중극자 렌즈들과 협동한다.
질량 분석기(130)는 제 1 사중극자 렌즈(120)로부터 하류측에 위치된다. 질량 분석기(130)는 추출된 이온들(1)의 경로를 가이드하기 위하여 자기장을 사용한다. 자기장은 그들의 질량 및 전하에 따라 이온들의 비행 경로에 영향을 준다. 분해(resolving) 개구(151)를 갖는 질량 분해 디바이스(150)는 질량 분석기(130)의 출력, 또는 원위 단부에 배치된다. 자기장의 적절한 선택에 의해, 선택된 질량 및 전하를 갖는 이러한 이온들(1)만이 분해 개구(151)를 통해 보내질 것이다. 다른 이온들은 질량 분해 디바이스(150) 또는 질량 분석기(130)의 벽에 충돌할 것이며, 시스템 내에서 추가로 이동하지 않을 것이다.
제 2 사중극자 렌즈(140)는 질량 분석기(130)의 출력과 질량 분해 디바이스(150) 사이에 배치될 수 있다.
콜리메이터(180)는 질량 분해 디바이스(150)로부터 하류측에 배치된다. 콜리메이터(180)는 분해 개구(151)를 통과하는 이온들(1)을 받고, 복수의 평행한 또는 거의 평행한 빔렛(beamlet)들로 형성된 리본 이온 빔을 생성한다. 질량 분석기(130)의 출력 또는 원위 단부 및 콜리메이터(180)의 입력 또는 근위 단부는 고정된 거리로 이격될 수 있다. 질량 분해 디바이스(150)는 이러한 2개의 컴포넌트들 사이의 공간 내에 배치된다.
제 3 사중극자 렌즈(160)는 질량 분해 디바이스(150)와 콜리메이터(180)의 입력 사이에 배치될 수 있다. 제 4 사중극자 렌즈(170)가 또한 질량 분해 디바이스(150)와 콜리메이터(180)의 입력 사이에 배치될 수 있다.
특정 실시예들에 있어서, 사중극자 렌즈들은 다른 위치들에 배치될 수 있다. 예를 들어, 제 3 사중극자 렌즈(160)는 제 2 사중극자 렌즈(140)와 질량 분해 디바이스(150) 사이에 배치될 수 있다. 추가적으로, 특정 실시예들에 있어서, 사중극자 렌즈들 중 하나 이상이 생략될 수 있다.
가속/감속 스테이지(190)가 콜리메이터(180)로부터 하류측에 위치될 수 있다. 가속/감속 스테이지(190)는 에너지 퓨리티 모듈(energy purity module)로 지칭될 수 있다. 에너지 퓨리티 모듈은, 이온 빔의 편향, 감속, 및 포커싱을 독립적으로 제어하도록 구성된 빔-라인 렌즈 컴포넌트이다. 예를 들어, 에너지 퓨리티 모듈은 수직 정전 에너지 필터(vertical electrostatic energy filter; VEEF) 또는 정전 필터(electrostatic filter; EF)일 수 있다.
이온들(1)은 이온 빔(191)으로서 가속/감속 스테이지(190)를 빠져나오며, 엔드 스테이션(200)에 진입한다. 이온 빔(191)은 리본 이온 빔일 수 있다. 작업물(10)이 엔드 스테이션(200) 내에 배치된다.
따라서, 빔 라인 이온 주입 시스템은 엔드 스테이션(200)에서 끝나는 복수의 컴포넌트들을 포함한다. 이상에서 설명된 바와 같이, 이러한 컴포넌트들은, 이온 소스(100); 추출 광학부(110); 사중극자 렌즈들(120, 140, 160, 170); 질량 분석기(130); 질량 분해 디바이스(150); 콜리메이터(180); 및 가속/감속 스테이지(190)를 포함한다. 이러한 컴포넌트들 중 하나 이상이 빔 라인 이온 주입 시스템 내에 포함되지 않을 수 있음을 유의해야 한다.
추가로, 이상의 개시가 높이보다 훨씬 더 큰 폭을 갖는 리본 이온 빔을 설명하지만, 다른 실시예들이 또한 가능하다. 예를 들어, 스캔형 스팟 빔이 엔드 스테이션(200)에 진입할 수 있다. 스캔형 스팟 빔은 전형적으로 원의 형상인 이온 빔이며, 이는 리본 이온 빔과 동일한 효과를 생성하기 위하여 측방으로 스캔된다.
제어기(195)는 시스템을 제어하기 위해 사용될 수 있다. 제어기(195)는 프로세싱 유닛 및 연관된 메모리 디바이스를 갖는다. 이러한 메모리 디바이스는, 프로세싱 유닛에 의해 실행될 때, 시스템이 본원에서 설명되는 기능들을 수행하는 것을 가능하게 하는 명령어들을 포함한다. 이러한 메모리 디바이스는 플래시 ROM, 전기적 소거가능 ROM 또는 다른 적절한 디바이스들과 같은, 비-휘발성 메모리를 포함하는 임의의 비-일시적인 저장 매체일 수 있다. 다른 실시예들에 있어서, 메모리 디바이스는 RAM 또는 DRAM과 같은 휘발성 메모리일 수 있다. 특정 실시예들에 있어서, 제어기(195)는 범용 컴퓨터, 내장형 프로세서, 또는 특수하게 설계된 마이크로제어기일 수 있다. 제어기(195)의 실제 구현이 본 개시에 의해 한정되지 않는다.
도 2는 일 실시예에 따른 엔드 스테이션(200)을 도시한다. 이상에서 설명된 바와 같이, 작업물(10)이 엔드 스테이션(200) 내에 배치된다. 이온 빔(191)이 엔드 스테이션(200)에 진입하고, 작업물(10)을 향해 보내진다. 작업물(10)은 플래튼(210)에 의해 홀딩된다. 플래튼(210)은 플래튼(210)의 전방 표면에 수직인 축에 대하여 회전할 수 있다. 플래튼(210)은 또한 플래튼(210)의 전방 표면에 평행한 축(211)에 대하여 회전할 수 있다. 추가적으로, 플래튼(210)은 방향(212)을 따라 선형적으로 움직일 수 있다. 물론, 플래튼(210)이 또한 이러한 성능들의 전부보다 더 적은 성능을 가질 수 있다.
플라즈마 플러드 건(220)이 엔드 스테이션(200) 내에 그리고 이온 빔(191)에 근접하여 배치될 수 있다. 플라즈마 플러드 건(220)은 공간 전하 폭발(blowup)을 감소시키고 작업물(10)을 중성화하기 위하여 저 에너지 전자들을 방출하기 위해 사용된다. 특히, 플라즈마 플러드 건(220)은 오로지, 분자 해리(molecular dissociation)로부터의 원자 또는 분자 라디칼들과 같은 다른 플라즈마 종이 아니라 희 가스 또는 비-반응성 가스로 형성된 플라즈마로부터 전자들의 형태로 순(net) 네거티브하게 대전된 플럭스를 방출하기 위해서만 사용된다. 다시 말해서, 플라즈마 플러드 건(220)은 전자들을 방출하기 위해 비활성 가스, 예컨대 크세논을 사용한다. 플라즈마 플러드 건(220)은 작업물(10)의 속성들을 변화시키도록 의도되지 않는다.
일 실시예에 있어서, 플라즈마 플러드 건(220)은, 실질적으로 금속이 없는 내부 표면을 갖는 플라즈마 챔버(221)를 포함할 수 있다. 라디오-주파수 코일(222)이, 챔버 내에 봉입된 가스를 직접적으로 여기시키고 그에 따라서 희망되는 플라즈마를 생성하고 유지하기 위하여 플라즈마 챔버(221) 내에 배치될 수 있다. 플라즈마 챔버(221)는, 일 측면 상에, 이를 통해 플라즈마가 플라즈마 챔버(221) 밖으로 흐르고 이온 빔(191)의 이온들과 맞물리는 세장형(elongated) 추출 개구(223)를 가질 수 있다. 일련의 자석들(224)이 그 내부에 생성된 플라즈마를 포함시키고 제어하기 위하여 플라즈마 챔버(221) 주위에 배치될 수 있다. 특히, 자석들(224)은 플라즈마 챔버(221)의 벽들 외부에 위치될 수 있으며, 그들의 개별적인 자기장들은 플라즈마 챔버(221)의 벽을 통해 연장한다.
보다 더 구체적으로, 플라즈마 챔버(221)의 내부 부분들은 흑연 또는 실리콘 탄화물(SiC)와 같은 비-금속성 전도성 재료로 만들어질 수 있다. 피드-스루(feed-through) 가스 파이프가 플라즈마 챔버(221)의 측벽 내에 제공될 수 있으며, 이를 통해 하나 이상의 가스상 물질들이 플라즈마 챔버(221)에 공급될 수 있다. 가스 물질들은, 크세논(Xe), 아르곤(Ar) 또는 크립톤(Kr)과 같은 비활성 가스들을 포함할 수 있다. 가스 압력은 전형적으로 1-50 mTorr의 범위 내로 유지된다.
라디오-주파수 코일(222)은, 전반적으로 플라즈마 챔버(221)의 중심을 통해 연장하는 세장형 형상을 가질 수 있다. 라디오-주파수 코일(222)의 일 단부는, 플라즈마 챔버(221) 내에 RF 전력을 유도적으로 결합할 수 있는 RF 전원 공급장치에 연결될 수 있다. RF 전력은, 예를 들어, 2 MHz, 13.56 MHz 및 27.12 MHz와 같은 전형적인 주파수들에서 동작할 수 있다. 라디오-주파수 코일(222)은 플라즈마 챔버(221) 내에 완전히 봉입될 수 있다. 플라즈마 챔버의 측벽 내에, 생성된 플라즈마가 이온 빔(191)과 접촉하도록 흐르는 것을 가능하게 하기 위하여 출구 개구(223)가 위치된다. 리본-형 이온 빔에 대하여, 출구 개구(223)는 실질적으로 리본 폭을 커버할 수 있다.
일 실시예에 따르면, 플라즈마 플러드 건(220)으로부터의 플라즈마가 플라즈마 챔버(221) 외부를 바로 지나가는 이온 빔(191)과 플라즈마 브리지(plasma bridge)를 형성하는 것이 바람직할 수 있다. 이상에서 언급된 바와 같이, 플라즈마 챔버(221)는, 플라즈마 챔버(221) 내에 생성된 플라즈마를 포함시키고 제어하도록 배열된 영구 자석들 또는 전자석들과 같은 일련의 자석들(224)을 포함할 수 있다. 이러한 자석들(224)의 특성들은, 이것이 출구 개구(223)를 통해 플라즈마 챔버(221)를 빠져나올 때 플라즈마의 특성들을 제어하도록 또한 배열될 수 있다.
다른 실시예들에 있어서, 플라즈마 플러드 건(220)은 버나스 유형의 이온 소스일 수 있으며, 여기에서 필라멘트는 열이온 방출을 생성하기 위해 사용된다. 아르곤과 같은 가스가 플라즈마 챔버 내로 도입된다. 방출된 전자들은 플라즈마 챔버 내에서 플라즈마를 여기시키기 위해 사용된다. 그런 다음, 플라즈마로부터의 전자들은 출구 개구를 통해 플라즈마 챔버로부터 추출된다.
다시 말해서, 플라즈마 플러드 건(220)은 다양한 방식들로 구성될 수 있으며, 그것의 구현이 본 개시에 의해 제한되지 않는다.
따라서, 플라즈마 플러드 건(220)으로부터의 전자들은 그들의 경로를 이온 빔(191)을 향해 지향시키기 위해 자기장에 의해 국한될 수 있다. 특정 실시예들에 있어서, 플라즈마 플러드 건(220)이 사용되지 않을 수 있다.
보조 플라즈마 소스(270)는 엔드 스테이션(200) 내에 배치된다. 플라즈마 플러드 건(220)과 달리, 보조 플라즈마 소스(270)는 하나 이상의 출구 개구들(275)을 통해 작업물(10)에 근접한 영역 내로 이온들 및/또는 라디칼들을 방출하기 위해 사용된다. 잘 알려진 바와 같이, 라디칼은 짝지어지지 않은 원자가 전자를 갖는 분자 또는 원자 종이다. 결과적으로, 라디칼들은 전형적으로 고도로 반응성이다. 라디칼들은 전형적으로 보조 플라즈마 소스(270) 내에서의 더 큰 분자들의 해리에 의해 생성된다. 특정 실시예들에 있어서, 보조 플라즈마 소스(270)는 작업물(10)의 30 cm 이내에 배치되지만, 다른 실시예들이 가능하다. 보조 플라즈마 소스(270)가 원통형으로서 예시되지만, 이것이 단지 예시적이며 보조 플라즈마 소스(270)가 임의의 형상일 수 있다는 것이 이해될 것이다.
특정 실시예들에 있어서, 가열기(240)가 또한 엔드 스테이션(200) 내에 배치될 수 있다. 가열기(240)는 보조 플라즈마 소스(270) 근처에 위치될 수 있으며, 그 결과 가열기(240) 및 보조 플라즈마 소스(270) 둘 모두가 이온 빔(191)의 동일한 측면 상에 배치된다. 가열기(240)는, 가열 램프들, LED들, 또는 저항성 가열기들을 포함하여 임의의 적절한 디바이스일 수 있다. 도 2는 이온 빔(191)과 가열기(240) 사이에 배치된 보조 플라즈마 소스(270)를 도시하지만, 다른 구성들이 또한 가능하다. 예를 들어, 가열기(240)는 이온 빔(191)과 보조 플라즈마 소스(270) 사이에 배치될 수 있다. 다른 실시예에 있어서, 가열기(240) 및 보조 플라즈마 소스(270)는 이온 빔(191)의 대향되는 측면들 상에 배치될 수 있다.
도 3a에 도시된 일 실시예에 있어서, 보조 플라즈마 소스(270)는 원통형일 수 있는 외부 하우징(231)을 포함하지만, 다른 형상들이 또한 가능하다. 외부 하우징(231)은 알루미늄 또는 어떤 다른 적절한 재료로 구성될 수 있다. 외부 하우징(231) 내에 안테나(232)가 존재하며, 이는 보호 커버(233)에 의해 둘러싸일 수 있다. 안테나(232)는 금속과 같은 전도성 재료로 구성될 수 있으며, U-형상일 수 있다. 안테나(232)는, 보조 플라즈마 소스(270) 내에서 생성되는 플라즈마(274)로부터 안테나(232)를 보호하기 위한 세라믹 재료일 수 있는 보호 커버(233)로 코팅되거나 또는 그 내에서 동축이다. 외부 하우징(231)은 하나 이상의 출구 개구들(275)을 포함하며, 이를 통해 플라즈마(274)가 보조 플라즈마 소스(270)를 빠져나오고 엔드 스테이션(200)에 진입한다. 동작 시에, 프로세스 가스가 외부 하우징(231)에 의해 획정된 체적 내로 도입된다. 안테나(232)는 RF 전원 소스(235)를 사용하여 에너지가 공급된다. 이러한 에너지는 보조 플라즈마 소스(270) 내에 플라즈마(274)를 생성한다. 그러면, 플라즈마(274)는 하나 이상의 출구 개구들(275)을 통해 보조 플라즈마 소스(270)를 빠져나온다.
도 3b는 다른 실시예에 따른 보조 플라즈마 소스(270)를 도시한다. 이러한 실시예에 있어서, 보조 플라즈마 소스(270)는 외부 하우징(251) 외부에 배치되는 안테나(252)를 갖는다. 안테나(252)는 RF 전원 공급장치(255)를 사용하여 에너지가 공급된다. 예를 들어, 안테나(252)에 근접한 벽은, 안테나(252)로부터의 에너지가 벽을 통과하여 외부 하우징(251)에 의해 획정된 체적 내로 전달되도록 유전체 재료일 수 있다. 프로세스 가스가 이러한 체적 내로 도입되며, 에너지가 공급될 때, 플라즈마(274)를 생성한다. 그런 다음, 플라즈마(274)는 하나 이상의 출구 개구들(275)을 통해 보조 플라즈마 소스(270)를 빠져나온다.
다른 유형들의 디바이스들이 보조 플라즈마 소스(270)에 대해 사용될 수 있다. 예를 들어, 간접 가열식 캐소드(IHC) 이온 소스가 사용될 수 있다. 대안적으로, 버나스 소스가 사용될 수 있다. 다른 실시예에 있어서, 유도 결합 플라즈마(ICP) 또는 용량 결합 플라즈마(CCP) 소스가 사용될 수 있다. 따라서, 보조 플라즈마 소스(270)는 도 3a 내지 도 3b에 도시된 것들로 제한되지 않는다.
도 4a에 도시된 것과 같은 특정 실시예들에 있어서, 보조 플라즈마 소스(270)는, 하나 이상의 출구 개구들(275)이, 이온 빔(191)이 작업물(10)에 충돌하는 위치에서 작업물(10)을 향해 플라즈마(274)를 방출하도록 배향될 수 있다. 이러한 방식으로, 이온 빔(191)은 작업물(10)을 프로세싱하기 위해 플라즈마(274)와 협동한다. 예를 들어, 플라즈마(274) 내의 이온들 및 라디칼들은, 이온 빔(191)에 노출되는 영역에서 작업물(10) 상에 증착될 수 있다. 도 2에 대하여 설명된 바와 같이, 엔드 스테이션(200)은, 희망되는 경우, 플라즈마 플러드 건(220) 및/또는 가열기(240)를 포함할 수 있다.
도 4b는 다른 실시예에 따른 엔드 스테이션(200)을 도시한다. 이러한 실시예에 있어서, 보조 플라즈마 소스(270)는, 하나 이상의 출구 개구들(275)이 직접적으로 작업물(10)을 향하도록 배향된다. 이러한 방식으로, 플라즈마(274)의 흐름은 이온 빔(191)에 평행하다. 도 2에 대하여 설명된 바와 같이, 엔드 스테이션(200)은, 희망되는 경우, 플라즈마 플러드 건(220) 및/또는 가열기(240)를 포함할 수 있다.
도 4a 내지 도 4b에 도시된 실시예들에 있어서, 프로세스 가스가 보조 플라즈마 소스(270) 내로 도입될 수 있다. 안테나는, 예컨대 RF 전원 공급장치의 사용을 통해 에너지가 공급된다. 안테나 내의 에너지는 보조 플라즈마 소스(270) 내의 프로세스 가스가 이온화되어 플라즈마(274)를 형성하는 것을 초래한다. 그런 다음, 이러한 플라즈마(274)는 하나 이상의 출구 개구들(275)을 통해 작업물(10)을 향해 빠져나온다. 특정 실시예들에 있어서, 전압 차이가 보조 플라즈마 소스(270)와 작업물(10) 사이에 존재할 수 있다. 예를 들어, 보조 플라즈마 소스(270)는 작업물(10)보다 더 포지티브하게 바이어싱될 수 있다. 일부 실시예들에 있어서, 보조 플라즈마 소스(270)는 작업물(10)보다 0-5000V 더 포지티브하게 바이어싱될 수 있다. 특정 실시예들에 있어서, 보조 플라즈마 소스(270)는 작업물(10)보다 0-500V 사이만큼 더 포지티브하게 바이어싱될 수 있다. 이러한 실시예에 있어서, 보조 플라즈마 소스(270) 내의 포지티브 이온들이 작업물(10)을 향해 가속된다. 대안적으로, 보조 플라즈마 소스(270)는 작업물(10)보다 더 네거티브하게 바이어싱될 수 있다. 이러한 실시예에 있어서, 보조 플라즈마 소스(270) 내의 포지티브 이온들은 작업물(10)에 의해 어느 정도 반사된다. 다른 실시예들에 있어서, 보조 플라즈마 소스(270)는, 보조 플라즈마 소스(270)로부터의 이온 및 라디칼 플럭스가 단순히 하나 이상의 출구 개구들(275)을 통해 드리프트하도록 작업물(10)과 동일한 전위일 수 있다.
도 4a에 도시된 실시예에 있어서, 플래튼(210)이 방향(212)을 따라 위쪽으로 움직임에 따라, 작업물(10)의 일 부분이 이온 빔(191) 및 보조 플라즈마 소스(270)로부터의 플라즈마(274)에 노출된다. 이러한 노출 이후에, 작업물(10)의 그 부분이 다음으로 가열기(240)에 의해 가열될 수 있다.
도 4b에 도시된 실시예에 있어서, 작업물(10)은 먼저 이온 빔(191)에 의해 프로세싱되고, 그런 다음 위쪽으로 움직일 때 플라즈마(274)에 의해 프로세싱된다. 이온 빔(191) 및 플라즈마(274)에 노출된 이후에, 작업물(10)은 그런 다음 가열기(240)에 의해 열 프로세스를 겪을 수 있다.
이온 빔(191) 및/또는 플라즈마(274)에 의한 처리 다음의 열의 사용은 유익한 애플리케이션들을 가질 수 있다.
임의의 유형의 보조 플라즈마 소스는 도 4a 내지 도 4b에 도시된 구성들에서 이용될 수 있다는 것을 유의해야 한다. 따라서, 보조 플라즈마 소스(270)의 유형 및 그것의 배향의 선택은 수행되는 프로세스 및 다른 고려사항들에 기초하는 설계 결정들이다.
안테나에 의해 인가되는 에너지뿐만 아니라 보조 플라즈마 소스(270) 내로의 프로세스 가스의 흐름 레이트가 보조 플라즈마 소스(270)로부터 추출되는 라디칼들 및 이온들의 수를 결정하는 인자들이다. 특정 실시예들에 있어서, 프로세스 가스는 플라즈마를 유지하기 위해 또는 프로세스 제어를 위하여 희망되는 종의 라디칼들 및 이온들의 수를 감소시키기 위해 비활성 가스로 희석된다.
도 1이 이온 주입과 관련하여 설명되지만, 엔드 스테이션(200)은 임의의 반도체 프로세싱 시스템과 함께 사용될 수 있다는 것이 이해될 것이다. 표면 엔지니어링 소스를 갖는 설명된 반도체 프로세싱 시스템을 가지고, 이러한 반도체 프로세싱 시스템의 다양한 애플리케이션들이 설명될 것이다.
일 실시예에 있어서, 도 4a에 도시된 구성을 사용하는 도 1의 반도체 프로세싱 시스템은 이온 주입 프로세스를 수행하기 위해 사용될 수 있다. 이상에서 설명된 바와 같이, 도 4a의 엔드 스테이션은, 이온 빔(191)이 작업물(10)과 충돌하는 위치에서 작업물(10)을 향한 보조 플라즈마 소스(270)로부터의 플라즈마(274)를 묘사한다. 이러한 방식으로, 작업물(10)의 표면과의 동시적인 이온 빔(191)과 플라즈마(274) 사이의 상호작용이 존재한다.
이러한 실시예에 있어서, 목표 종이 작업물(10) 내로 주입되도록 희망된다. 예를 들어, 목표 종은 III 족 원소, IV 원소, 또는 V 족 원소일 수 있다. 예를 들어, 일 실시예에 있어서, 비소가 희망되는 종일 수 있다. 이러한 희망되는 종이 보조 플라즈마 소스(270) 내로 도입된다. 희망되는 종은 목표 종을 포함하는 분자의 형태로 도입될 수 있다. 이러한 희망되는 종에 에너지가 공급되어 플라즈마(274)를 형성한다. 도 5에 도시된 바와 같이, 플라즈마(274)는, 이온 빔(191)이 작업물(10)에 충돌하는 위치 근처의 작업물(10)을 향해 확산한다. 플라즈마(274)로부터의 이온들 및 라디칼들은, 예컨대 필름(277)의 형태로 작업물(10)의 표면 상에 증착되게 될 수 있다. 아르곤, 크세논 또는 크립톤과 같은 가스가 이온 소스(100)(도 1) 내로 도입되며, 이온 빔(191)을 생성하기 위해 사용된다. 특정 실시예들에 있어서, 가스는, 네온, 아르곤, 크세논, 또는 크립톤과 같은 VIII 족 원소로서 정의된 비활성 종일 수 있다. 이온 빔(191)은, 작업물(10) 상에 필름(277)으로서 증착되었거나 또는 작업물에 근접한 플라즈마(274)로부터의 이온들 및 라디칼들과 충돌한다. 이러한 충돌들은 이온 빔(191) 내의 이온들로부터의 에너지 중 많은 부분을 플라즈마(274)로부터의 이온들 및 라디칼들로 전달한다. 이는 플라즈마(274)로부터의 이온들 및 라디칼들이 작업물(10)의 표면에 침투하기 위해 필요한 속도를 획득하게끔 한다. 따라서, 이온 빔(191) 내의 이온들의 에너지가 플라즈마(274)로부터의 이온들 및 라디칼들에 부여된다. 이는 필름(277) 내의 이온들 및 라디칼들이 작업물(10) 내로 드라이브되는 것을 가능하게 한다. 이러한 실시예에 있어서, 이온 빔(191)은 플라즈마(274)로부터의 이온들 및 라디칼들을 때려 넣기 위해 사용된다. 따라서, 통상적인 이온 주입기들과는 달리, 이온 소스(100) 내의 이온들은, 엔드 스테이션(200) 내의 보조 플라즈마 소스(270) 내에서 생성되는 이온들 및 라디칼들을 안으로 드라이브하기 위한 에너지를 제공하기 위해 사용된다.
따라서, 이러한 실시예에 있어서, 이온 빔(191)은 에너지를 제공하기 위해 사용되며, 한편 보조 플라즈마 소스(270)는 희망되는 종을 공급하기 위해 사용된다. 이상의 설명이 비활성 가스들 및 비소를 사용하지만, 이들은 완전히 예시적이며 본 개시는 이러한 실시예들에 한정되지 않는다. 이온 빔(191)은 임의의 종의 이온들로 만들어질 수 있다. 일부 실시예들에 있어서, 비활성 원소들 또는 IV 족 원소들과 같은 특정 종은, 이온 빔이 실리콘 웨이퍼의 전도율에 영향을 주지 않도록 사용될 수 있다. 목표 종은, 붕소와 갈륨과 같은 III 족 원소들 및 인과 비소와 같은 V 족 원소들을 포함하여 임의의 희망되는 종일 수 있다. 특정 실시예들에 있어서, III 족 원소들 및 V 족 원소들은 BF3, AsH3, PH3, 및 다른 것들과 같은 분자들을 형성하기 위해 다른 원소들과 결합될 수 있다.
다른 실시예에 있어서, 본원에서 설명되는 반도체 프로세싱 시스템들은 에칭 프로세스에 대해 사용될 수 있다. 특히, 보조 플라즈마 소스(270)의 사용은 작업물 근처에서 더 많은 화학적으로 활성인 라디칼들의 생성을 가능하게 한다. 이러한 경우에 있어서, 보조 플라즈마 소스(270)는, VII 족 원소들 및 이러한 원소들을 포함하는 분자들과 같은, 에칭 화학 물질의 이온들, 라디칼들, 및 준안정 중성 입자들을 생성하기 위해 사용된다. 이러한 이온들 및 준안정 중성 입자들은 보조 플라즈마 소스(270)를 빠져나와서 작업물(10)을 향해 드리프트한다. 보조 플라즈마 소스(270)로부터의 이온들이 낮은 에너지를 가지기 때문에, 이러한 이온들은 작업물(10)의 표면에 또는 그 근처에 증착한다.
특정 일 실시예에 있어서, 할로겐 함유 가스가 이온들을 생성하기 위해 보조 플라즈마 소스(270) 내로 도입된다. 보조 플라즈마 소스(270)를 빠져나오는 저 에너지 이온들 및 라디칼들이 작업물(10)의 표면 상에 얇은 필름을 형성할 수 있다. 이러한 얇은 필름은 이온 빔(191)에 의해 제공되는 에너지를 가지고 작업물을 에칭하기 위해 작업물(10)과 반응한다. 특정 실시예들에 있어서, 이온 빔(191)은 아르곤과 같은 비활성 가스를 포함할 수 있다. 일 실시예에 있어서, 도 4a의 실시예는, 필름이 형성되는 동안 이온 빔(191)이 작업물(10)의 부분을 향해 보내지도록 사용된다. 다른 실시예에 있어서, 도 4b의 실시예는, 필름이 형성된 이후에 이온 빔(191)이 작업물(10)의 부분을 향해 보내지도록 사용된다.
다른 특정 실시예에 있어서, CF4 및 산소는 보조 플라즈마 소스(270) 내에서 이온화되고 해리된다. 아르곤과 같은 비활성 가스로 구성된 이온 빔(191)은 작업물(10)의 표면을 에칭하기 위해 사용된다.
또 다른 특정 실시예에 있어서, 자생 산화물 층이 작업물(10)의 표면 상에 형성될 수 있다. 이러한 자생 산화물 층은 보조 플라즈마 소스(270) 내에서 수소를 이온화시킴으로써 에칭될 수 있다. 아르곤과 같은 비활성 가스로 구성된 이온 빔은 작업물(10)의 표면으로부터 자생 산화물을 에칭하기 위해 사용된다.
다른 실시예에 있어서, 도 1 내지 도 4의 반도체 프로세싱 시스템은 증착을 위해 사용될 수 있다. 증착될 재료는 보조 플라즈마 소스(270) 내에서 이온화될 수 있다. 재료는 도펀트일 수 있거나 또는 작업물(10)에 대한 코팅으로서 사용되는 재료일 수 있다. 그 재료로부터의 이온들 및 라디칼들이 보조 플라즈마 소스(270)를 빠져나와서 작업물(10)을 향해 드리프트하며, 여기에서 이들은 작업물(10)의 표면 상에 증착된다.
특정 일 실시예에 있어서, 프로세스 가스는 CH4일 수 있으며, 한편 이온 빔(191)은 탄소와 같은 IV 족 원소를 포함한다. 이는 작업물(10) 내에 더 박스형의 프로파일을 가능하게 한다. 대조적으로, 이온 빔(191)의 단독 사용은 역행 프로파일을 생성한다.
따라서, 이온 빔(191) 및 보조 플라즈마 소스(270)가 동시에 사용되는 애플리케이션들에 있어서, 이온 빔(191)은 2개의 기능들 중 적어도 하나를 수행하기 위해 사용될 수 있다.
첫째, 이온 빔(191)은 보조 플라즈마 소스(270)로부터의 이온들 및 라디칼들을 작업물(10)을 향해 그리고 그 안으로 드라이브하기 위해 필요한 에너지를 공급할 수 있다. 이의 일 예는, 도 5에 도시된 바와 같이, 플라즈마(274)로부터의 이온들을 작업물(10) 내로 때려 넣기 위한 이온 빔(191)의 사용이다.
둘째, 이온 빔(191)은 작업물(10)의 표면에서 화학적 반응들을 용이하게 하거나 또는 초래하기 위한 추가적인 종을 공급할 수 있다. 이는 다수의 방식들로 달성될 수 있다. 첫째, 이온 빔(191)은, 작업물(10)의 표면이 증착된 층과 반응할 수 있도록 아래의 작업물(10)의 결합들을 약화시킬 수 있다. 둘째, 이온 빔(191)은 더 많은 반응들을 위하여 증착된 층을 아래의 작업물(10) 내로 혼합시킬 수 있다. 셋째, 이온 빔(191)은 작업물(10) 및 증착된 층으로부터 만들어지는 새로 형성된 화합물을 해방하거나 또는 휘발시킬 수 있다. 이러한 새롭게 형성된 화합물의 스퍼터링 수율은 작업물(10)에 대한 이온 빔(191)의 단독 사용의 스퍼터링 수율보다 훨씬 더 높을 수 있다. 예를 들어, 에칭 프로세스에 있어서, CF4 및 산소 라디칼들은 작업물(10)의 표면 상에 실리콘 질화물을 갖는 CFx 폴리머 유형의 재료를 생성한다. 결과적인 SiFxCy 화합물은 이온 빔(191)의 에너지에 의해 휘발된다.
또 다른 실시예에 있어서, 보조 플라즈마 소스(270) 및 이온 빔(191)의 사용이 동시적이지 않을 수 있다. 예를 들어, 작업물(10)은 이러한 소스들 중 하나에 의해 프로세싱되고, 그런 다음 이후의 시간에 이러한 소스들 중 다른 것에 의해 프로세싱될 수 있다.
예를 들어, 일 실시예에 있어서, 보조 플라즈마 소스는 작업물(10)의 사전-처리를 제공하기 위해 사용된다. 이러한 프로세스의 순서도가 도 6에 도시된다. 이러한 실시예에 있어서, 이온 빔(191)이 사전-처리 동안 활성이 아니기 때문에, 도 4a 또는 도 4b의 실시예가 사용될 수 있다. 먼저, 프로세스(500)에 도시된 바와 같이, 이온 빔(191)이 디세이블(disable)된다. 암모니아(NH3) 및 NF3과 같은 하나 이상의 에칭 종이, 프로세스(510)에 도시된 바와 같이, 보조 플라즈마 소스(270) 내로 도입된다. 보조 플라즈마 소스(270)는 이온들 및 다른 라디칼들을 생성한다. 프로세스(520)에 도시된 바와 같이, 이온들 및 라디칼들이 보조 플라즈마 소스(270)를 빠져나와서 작업물(10)을 향해 드리프트하며, 여기에서 이들은 작업물(10)의 실리콘 산화물 층과 반응한다. 작업물(10)은, 프로세스(530)에 도시된 바와 같이, 그런 다음 이온들 및 라디칼들에 노출되었던 작업물(10)의 부분이 가열기(240)에 의해 가열되도록 스캔된다. 열은, 프로세스(540)에 도시된 바와 같이, 작업물(10)의 표면 상에 생성된 반응된 실리콘 산화물을 승화시키도록 역할한다. 작업물(10)의 표면이 세정될 때까지 이러한 프로세스가 반복된다. 그 이후에, 작업물(10)은, 프로세스(550)에 도시된 바와 같이, 이온 빔(191)에 의한 다른 프로세스를 겪을 수 있다. 유익하게는, 작업물(10)은, 사전-처리 및 프로세스(550)에서 도시된 제 2 프로세스 전체 동안 엔드 스테이션(200) 내에 남아 있는다. 다시 말해서, 작업물(10)은 사전-처리와 제 2 프로세스 사이에 엔드 스테이션을 떠나지 않는다.
다른 실시예에 있어서, 이상에서 설명된 프로세스는, 작업물(10)이 플래튼(210) 상에 배치되지 않을 때 수행될 수 있다. 이러한 실시예에 있어서, 이러한 프로세스는 플래튼(210)을 세정하도록 역할할 수 있다.
다른 실시예에 있어서, 보조 플라즈마 소스(270)는 작업물(10)의 후-처리에서 사용된다. 예를 들어, 후 처리는 작업물(10)의 표면을 패시베이팅하기 위해 또는 이온 주입 프로세스에 의해 초래된 손상을 복구하기 위해 사용될 수 있다.  이의 순서도가 도 7에 도시된다. 먼저, 이상에서 설명된 바와 같이, 작업물(10)이 이온 빔(191)을 사용하여 프로세싱된다. 그런 다음, 프로세스(610)에 도시된 바와 같이, 프로세스 가스가 보조 플라즈마 소스(270) 내로 도입된다. 프로세스 가스는 수소/질소 혼합물을 포함할 수 있다. 프로세스(620)에 도시된 바와 같이, 보조 플라즈마 소스(270)로부터의 이온들 및 라디칼들이 작업물(10)의 표면을 향해 보내진다. 작업물(10)은, 프로세스(630)에 도시된 바와 같이, 예를 들어, 100°C-500°C의 온도에서, 가열기(240)를 지나 스캔된다.  손상 복구는 감소된 온도에서 형성 가스와 함께 작동하는 것으로 나타났으며, 이는 플라즈마 어닐링으로 지칭된다.  패시베이션은, 프로세스(640)에 도시된 바와 같이, 표면의 불포화 결합(dangling bond)들과 수소 또는 질소의 라디칼들을 반응시킴으로써 발생한다.
일 실시예에 있어서, 도 7에 도시된 후-처리는, 이온 빔(191)이 디세이블되어 있는 동안 수행된다. 다른 실시예에 있어서, 후-처리는 도 4b에 도시된 실시예를 사용하여 수행될 수 있다. 특히, 작업물(10)이 이온 빔(191)에 의해 주입된다. 작업물(10)이 방향(212)으로 위쪽으로 이동됨에 따라, 그러면 주입된 부분이 보조 플라즈마 소스(270)로부터의 프로세스 가스에 노출된다. 그 이후에, 그 주입된 부분이 그런 다음 가열된다. 따라서, 이러한 실시예에 있어서, 작업물(10)은 작업물(10)의 단일 스캔 동안 이온 빔(191)에 의해 주입되고 후-처리된다. 다시 말해서, 작업물의 하나의 부분(제 1 부분)이 이온 빔에 노출되면서 제 1 부분과는 상이한 작업물의 제 2 부분이 플라즈마에 노출된다.
따라서, 본 개시는, 이온들 및 라디칼들의 소스가 엔드 스테이션(200) 내에 통합되는 반도체 프로세싱 시스템을 설명한다. 종래에는, 어떠한 이온들 또는 라디칼들도 엔드 스테이션(200) 내로 도입되지 않는다는 것을 보장하기 위하여 큰 노력들이 이루어졌다. 특히, 플라즈마 플러드 건(220)은, 대부분의 전자들이 엔드 스테이션(200) 내로 방출됨을 보장하도록 설계된다. 본 반도체 프로세싱 시스템은 이온들 및 라디칼들을 엔드 스테이션(200) 내로 일부러 도입한다. 특정 실시예들에 있어서, 이러한 이온들 및 라디칼들은, 그렇지 않았다면 가능하지 않았을 애플리케이션들을 수행하기 위해 이온 빔(191)의 사용과 동시에 도입된다.
이상의 개시가 빔 라인 이온 주입기 시스템과 함께 보조 플라즈마 소스(270)의 사용을 설명하지만, 다른 실시예들이 또한 가능하다. 예를 들어, 도 8은 이러한 예를 도시한다. 이러한 도면에서, 반도체 프로세싱 시스템은 복수의 챔버 벽들(701)로 구성된 이온 소스 챔버(700)를 포함한다. 특정 실시예들에 있어서, 이러한 챔버 벽들(701) 중 하나 이상은 석영과 같은 유전체 재료로 구성될 수 있다. RF 안테나(710)는 제 1 유전체 벽(702)의 외부 표면 상에 배치될 수 있다. RF 안테나(710)는 RF 전원 공급장치(720)에 의해 전력이 공급될 수 있다. RF 안테나(710)로 전달되는 에너지는, 가스 주입구(730)를 통해 도입되는 공급 가스를 이온화하기 위하여 이온 소스 챔버(700) 내에서 방사된다. 다른 실시예들에 있어서, 가스는 상이한 방식으로, 예컨대, 간접 가열식 캐소드(indirectly heated cathode; IHC), 용량 결합 플라즈마 소스, 유도 결합 플라즈마 소스, 버나스(Bernas) 소스 또는 임의의 다른 플라즈마 생성기의 사용을 통해 이온화된다.
추출 플레이트(740)로 지칭되는 하나의 챔버 벽은, 이온들이 이를 통해 이온 소스 챔버(700)를 빠져나갈 수 있는 추출 개구(745)를 포함한다. 추출 플레이트(740)는 티타늄, 탄탈럼 또는 다른 금속과 같은 전기 전도성 재료로 구성될 수 있다. 추출 플레이트(740)는 폭이 300 밀리미터를 초과할 수 있다. 추가로, 추출 플레이트(745)는 작업물(10)의 직경보다 더 넓을 수 있다. 특정 실시예들에 있어서, 전극과 같은 추출 광학부(750)는, 이온 소스 챔버(700) 내에서 생성된 이온들을 작업물(10)을 향해 가속하기 위하여 추출 개구(745) 외부에 배치될 수 있다.
플래튼(760)은 추출 개구(745) 근처에서 이온 소스 챔버(700) 외부에 배치된다. 작업물(10)이 플래튼(760) 상에 배치된다.
보조 플라즈마 소스(270)는 특정 실시예에서 도 3a에 대하여 설명된 것과 같을 수 있다. 다른 실시예들에 있어서, 보조 플라즈마 소스(270)는 도 3b에 대하여 설명된 것과 같을 수 있다. 추가로, 보조 플라즈마 소스(270)의 출구 개구들(275)은 도 4a 또는 도 4b에 도시된 바와 같이 배향될 수 있다.
특정 실시예들에 있어서, 플라즈마 플러드 건(220)이 사용되지 않을 수 있다. 플라즈마 플러드 건(220)은 이상에서 설명된 바와 같이 기능한다.
본원에서 설명되는 프로세스들의 전부가 도 8에 도시된 구성을 사용하여 수행될 수 있다.
본원에서 설명된 반도체 프로세싱 시스템 및 방법은 다수의 장점들을 가질 수 있다.
노크 인(knock in)을 사용하는 구현예의 경우에 있어서, 주입의 깊이가 더 타이트하게 제어될 수 있다. 추가로, AsH3과 같은 분자가 주입될 때, 수소 이온들의 깊이는 노크 인을 사용하여 더 양호하게 제어될 수 있다. 노크 인의 사용과 연관된 추가적인 이점들이 존재한다. 첫째, 주입된 이온들로부터의 스트래글(straggle)이 에너지를 흡수하는 증착된 필름에 기인하여 감소될 수 있다. 이는, 측방 스트래글이 게이트 아래에서 단락 채널 효과들을 야기할 수 있는 소스/드레인 연장부와 같은 애플리케이션에 대해 유익하다. 둘째, 결과적인 도펀트 프로파일이 표면 피크(surface peak)가 되며, 이는 표면 피크 캐리어 농도를 생성할 것이다. 이는, 실리사이드 프로세스에 의해 가까운 표면이 소비되고 이러한 영역에서 낮은 접촉 저항이 바람직한 접촉부와 같은 애플리케이션들에 대해 유익하다. 셋째, 임박한(impending) 이온들이 증착된 필름을 인접한 핀 구조체들로 스퍼터링하여 핀들의 측벽 도핑을 개선할 수 있다.
에칭의 경우에 있어서, 보조 플라즈마 소스(270)의 사용은, 후속 프로세스가 그 안에서 수행될 때 동일한 엔드 스테이션 내의 자생 산화물 층의 제거를 가능하게 한다. 에칭 및 증착 애플리케이션들에서의 이러한 구성의 추가적인 이점은, 순차적인 프로세스에 의해 낮은 에너지를 유지하면서 더 두꺼운 필름들을 처리하거나 또는 생성하기 위한 능력이다. 아래 층들의 원치 않는 스퍼터링 또는 손상이 방지되도록 낮은 에너지가 유용할 수 있다.
순차적인 동작의 경우에 있어서, 엔드 스테이션 내의 보조 플라즈마 소스(270)의 사용은 사전-처리 세정 프로세스 이후에 작업물을 운송해야 할 필요성을 제거한다. 이는 또한 세정과 프로세스(즉, 증착, 주입, 에칭) 사이의 시간의 양을 감소시킨다. 예를 들어, 애플리케이션이 자생 산화물 층들에 민감한 경우, 작업물의 표면을 세정하는 것과 작업물이 희망되는 프로세스를 겪는 것 사이의 시간의 감소는 자생 산화물 층의 재성장을 최소화한다.
본 개시는 본원에서 설명된 특정 실시예에 의해 범위가 제한되지 않는다. 오히려, 본원에서 설명된 실시예들에 더하여, 본 개시의 다른 다양한 실시예들 및 이에 대한 수정예들이 이상의 설명 및 첨부된 도면들로부터 당업자들에게 자명해질 것이다. 따라서, 이러한 다른 실시예들 및 수정예들이 본 개시의 범위 내에 속하도록 의도된다. 추가로, 본 개시가 본원에서 특정 목적을 위한 특정 환경에서의 특정 구현예의 맥락에서 설명되었지만, 당업자들은 이의 유용함이 이에 한정되지 않으며, 본 개시가 임의의 수의 목적들을 위한 임의의 수의 환경들에서 유익하게 구현될 수 있다는 것을 인식할 것이다. 따라서, 이하에서 기술되는 청구항들은 본원에서 설명된 바와 같은 본 개시의 완전한 폭과 사상의 관점에서 해석되어야만 한다.

Claims (35)

  1. 반도체 프로세싱 시스템으로서,
    작업물에 충돌하는 이온 빔을 형성하기 위해 사용되는 이온들을 생성하기 위한 이온 소스로서, 상기 이온 소스는 빔 라인 주입 시스템의 컴포넌트이며, 상기 빔 라인 주입 시스템은 추출 광학부, 질량 분석기, 질량 분해 디바이스, 콜리메이터 및 가속/감속 스테이지 중 적어도 하나를 더 포함하는, 상기 이온 소스;
    작업물에 근접하여 배치되는 보조 플라즈마 소스로서, 상기 보조 플라즈마 소스는 상기 작업물을 향해 이온들 및 라디칼들을 방출하도록 배향된 출구 개구를 갖는, 상기 보조 플라즈마 소스;
    상기 이온 빔에 의해 스캔되도록 상기 이온 빔에 수직하는 방향으로 선형적으로 움직일 수 있는 플래튼; 및
    제어기로서, 상기 제어기는, 상기 이온 소스 및 상기 보조 플라즈마 소스가 작업물을 프로세싱하기 위해 동시에 사용되도록 상기 이온 소스 및 상기 보조 플라즈마 소스를 제어하며, 상기 제어기는, 상기 작업물의 각각의 부분이 상기 보조 플라즈마 소스에 의해 방출되는 상기 라디칼들 및 이온들에 의해, 그리고 상기 이온 빔에 의해 프로세싱되도록 상기 플래튼을 이동시키는, 상기 제어기를 포함하는, 반도체 프로세싱 시스템.
  2. 삭제
  3. 청구항 1에 있어서,
    상기 보조 플라즈마 소스는, 외부 하우징, 안테나, 및 상기 안테나와 연통하는 RF 전원 공급장치를 포함하는, 반도체 프로세싱 시스템.
  4. 청구항 1에 있어서,
    상기 출구 개구는, 상기 이온 빔이 작업물에 충돌하는 위치에서 상기 작업물을 향해 상기 라디칼들 및 상기 이온들이 보내지도록 배향되는, 반도체 프로세싱 시스템.
  5. 청구항 1에 있어서,
    상기 출구 개구는, 상기 라디칼들 및 상기 이온들이 상기 이온 빔에 평행한 방향으로 상기 작업물을 향해 보내지도록 배향되는, 반도체 프로세싱 시스템.
  6. 청구항 1에 있어서,
    상기 보조 플라즈마 소스는, 상기 이온들이 상기 작업물로 끌어당겨지도록 바이어싱되는, 반도체 프로세싱 시스템.
  7. 청구항 1에 있어서,
    상기 반도체 프로세싱 시스템은 작업물이 배치되는 엔드 스테이션(end station)을 포함하며, 상기 보조 플라즈마 소스는 상기 엔드 스테이션 내에 배치되는, 반도체 프로세싱 시스템.
  8. 청구항 7에 있어서,
    상기 반도체 프로세싱 시스템은 상기 엔드 스테이션 내에 배치되는 가열기를 포함하는, 반도체 프로세싱 시스템.
  9. 청구항 7에 있어서,
    상기 반도체 프로세싱 시스템은, 상기 엔드 스테이션 내에 배치되며, 상기 보조 플라즈마 소스로부터 분리된 플라즈마 플러드 건(flood gun)을 포함하는, 반도체 프로세싱 시스템.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
KR1020217001926A 2018-06-22 2019-06-12 반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법 KR102565876B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237026470A KR20230119044A (ko) 2018-06-22 2019-06-12 반도체 프로세싱 시스템, 및 작업물 내로 이온들을주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/015,323 US11069511B2 (en) 2018-06-22 2018-06-22 System and methods using an inline surface engineering source
US16/015,323 2018-06-22
PCT/US2019/036667 WO2019245812A1 (en) 2018-06-22 2019-06-12 System and methods using an inline surface engineering source

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237026470A Division KR20230119044A (ko) 2018-06-22 2019-06-12 반도체 프로세싱 시스템, 및 작업물 내로 이온들을주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법

Publications (2)

Publication Number Publication Date
KR20210014199A KR20210014199A (ko) 2021-02-08
KR102565876B1 true KR102565876B1 (ko) 2023-08-11

Family

ID=68981026

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237026470A KR20230119044A (ko) 2018-06-22 2019-06-12 반도체 프로세싱 시스템, 및 작업물 내로 이온들을주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법
KR1020217001926A KR102565876B1 (ko) 2018-06-22 2019-06-12 반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237026470A KR20230119044A (ko) 2018-06-22 2019-06-12 반도체 프로세싱 시스템, 및 작업물 내로 이온들을주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법

Country Status (6)

Country Link
US (3) US11069511B2 (ko)
JP (1) JP7080357B2 (ko)
KR (2) KR20230119044A (ko)
CN (2) CN117524823A (ko)
TW (1) TWI723431B (ko)
WO (1) WO2019245812A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069511B2 (en) 2018-06-22 2021-07-20 Varian Semiconductor Equipment Associates, Inc. System and methods using an inline surface engineering source
US11721530B2 (en) * 2020-02-26 2023-08-08 Applied Materials, Inc. System for controlling radicals using a radical filter
US11574796B1 (en) 2021-07-21 2023-02-07 Applied Materials, Inc. Dual XY variable aperture in an ion implantation system
US20230083497A1 (en) * 2021-09-15 2023-03-16 Applied Materials, Inc. Uniform plasma linear ion source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000331935A (ja) * 1999-05-20 2000-11-30 Matsushita Electric Ind Co Ltd 半導体薄膜の製造方法と製造装置、及び半導体装置
US20070221870A1 (en) * 2006-03-21 2007-09-27 Olson Joseph C Technique for isocentric ion beam scanning
US20090084757A1 (en) * 2007-09-28 2009-04-02 Yuri Erokhin Uniformity control for ion beam assisted etching
US20100264328A1 (en) * 2009-04-16 2010-10-21 Costel Biloiu Conjugated icp and ecr plasma sources for wide ribbon ion beam generation and control

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6203862B1 (en) * 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
KR100382720B1 (ko) 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6452198B1 (en) 2001-06-28 2002-09-17 Advanced Micro Devices, Inc. Minimized contamination of semiconductor wafers within an implantation system
US6716727B2 (en) 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20100019141A1 (en) * 2008-07-25 2010-01-28 Varian Semiconductor Equipment Associates, Inc. Energy contamination monitor with neutral current detection
JP5604063B2 (ja) * 2008-12-26 2014-10-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US8986561B2 (en) 2008-12-26 2015-03-24 Tokyo Electron Limited Substrate processing method and storage medium
US8288255B2 (en) * 2011-02-04 2012-10-16 Varian Semiconductor Equipment Associates, Inc. N-type doping of zinc telluride
ES2651712T3 (es) 2012-05-21 2018-01-29 Bayer Consumer Care Ag Accesorio y recipiente para productos pulverizados, especialmente para productos pulverizados con tendencia a la formación de grumos
WO2014160886A2 (en) * 2013-03-27 2014-10-02 Washington State University Systems and methods for treating material surfaces
US9978568B2 (en) * 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
SG11201702566RA (en) 2014-09-05 2017-04-27 Tel Epion Inc Process gas enhancement for beam treatment of a substrate
WO2017106089A1 (en) 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US11069511B2 (en) 2018-06-22 2021-07-20 Varian Semiconductor Equipment Associates, Inc. System and methods using an inline surface engineering source

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000331935A (ja) * 1999-05-20 2000-11-30 Matsushita Electric Ind Co Ltd 半導体薄膜の製造方法と製造装置、及び半導体装置
US20070221870A1 (en) * 2006-03-21 2007-09-27 Olson Joseph C Technique for isocentric ion beam scanning
US20090084757A1 (en) * 2007-09-28 2009-04-02 Yuri Erokhin Uniformity control for ion beam assisted etching
US20100264328A1 (en) * 2009-04-16 2010-10-21 Costel Biloiu Conjugated icp and ecr plasma sources for wide ribbon ion beam generation and control

Also Published As

Publication number Publication date
TWI723431B (zh) 2021-04-01
US11069511B2 (en) 2021-07-20
US20210313154A1 (en) 2021-10-07
TW202006801A (zh) 2020-02-01
KR20230119044A (ko) 2023-08-14
JP7080357B2 (ja) 2022-06-03
US20190393019A1 (en) 2019-12-26
US11862433B2 (en) 2024-01-02
CN117524823A (zh) 2024-02-06
US20240096602A1 (en) 2024-03-21
CN112335012A (zh) 2021-02-05
CN112335012B (zh) 2024-01-16
WO2019245812A1 (en) 2019-12-26
JP2021528817A (ja) 2021-10-21
KR20210014199A (ko) 2021-02-08

Similar Documents

Publication Publication Date Title
KR102565876B1 (ko) 반도체 프로세싱 시스템, 및 작업물 내로 이온들을 주입하는 방법, 작업물을 프로세싱하는 방법, 작업물을 에칭하는 방법, 및 작업물 상에 재료를 증착하는 방법
US8471476B2 (en) Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US8142607B2 (en) High density helicon plasma source for wide ribbon ion beam generation
US7800083B2 (en) Plasma electron flood for ion beam implanter
KR102204217B1 (ko) 자기 제한을 갖는 플라즈마 소스를 이용하는 플라즈마 기반 재료 변경
KR940010199B1 (ko) 저에너지 전자 조사 방법 및 조사 장치
WO2015191311A1 (en) Ion implantation source with textured interior surfaces
US20090166555A1 (en) RF electron source for ionizing gas clusters
JP5652771B2 (ja) 分子イオンを生成する方法および装置
JPH10162770A (ja) ドーピング装置およびドーピング処理方法
US11450504B2 (en) GeH4/Ar plasma chemistry for ion implant productivity enhancement
JPH05102083A (ja) ドライエツチング方法及びそのための装置

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant