JP2012523122A - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP2012523122A
JP2012523122A JP2012503742A JP2012503742A JP2012523122A JP 2012523122 A JP2012523122 A JP 2012523122A JP 2012503742 A JP2012503742 A JP 2012503742A JP 2012503742 A JP2012503742 A JP 2012503742A JP 2012523122 A JP2012523122 A JP 2012523122A
Authority
JP
Japan
Prior art keywords
insulator
plasma
gap
angle
plane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012503742A
Other languages
English (en)
Other versions
JP2012523122A5 (ja
JP5704577B2 (ja
Inventor
ゴデ、ルードビック
ミラー、ティモシー、ジェイ.
ラドバノフ、スベトラナ
ルノー、アンソニー
シング、ヴィクラム
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/418,120 external-priority patent/US8623171B2/en
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JP2012523122A publication Critical patent/JP2012523122A/ja
Publication of JP2012523122A5 publication Critical patent/JP2012523122A5/ja
Application granted granted Critical
Publication of JP5704577B2 publication Critical patent/JP5704577B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Combustion & Propulsion (AREA)
  • Drying Of Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

プラズマ処理装置は、処理チャンバと、処理チャンバ内に設けられて、処理対象物を支持するプラテンと、処理対象物の前面に隣接するプラズマシースを有する処理チャンバにプラズマを生成させるソースと、絶縁変更器とを含む。絶縁変更器は、間隙平面がシースに最も近く間隙に近接した絶縁変更器の各部分により画定されており、間隙角度が、間隙平面と、プラズマに対向する処理対象物の前面が画定する処理対象物平面との間の角度である間隙を有する。さらに、処理対象物にイオンを衝突させる方法が開示され、本方法においては、イオンの処理対象物に対する入射角度の範囲には、中央角度と角度分布とが含まれ、絶縁変更器が形成する中央角度は処理対象物平面に垂直ではない。
【選択図】図14

Description

本開示は、プラズマ処理に係り、より詳しくは、プラズマ処理装置に係る。
プラズマ処理装置は、処理チャンバにプラズマを生成することで、処理チャンバ内のプラテンに支持される処理対象物を処理する。プラズマ処理装置には、これらに限られはしないが、ドーピングシステム、エッチングシステム、および堆積システムが含まれてよい。プラズマは通常、イオン(通常は正の電荷を有する)と、電子(負の電荷を有する)とによる準中性の集合体である。プラズマは、約0ボルト/cmの電界をバルクのプラズマに有する。プラズマ処理装置によっては、プラズマからイオンが処理対象物に引き付けられる場合がある。プラズマドーピング装置内では、イオンは十分なエネルギーで引き付けられて、処理対象物の物理構造内(一例では半導体基板)に注入される。
プラズマは、処理対象物に近接した領域(通常はプラズマシースと称される)により境界を隔てられる。プラズマシースは、プラズマよりも電子の少ない領域である。このプラズマシースにおける発光の強度は、プラズマのものよりも小さいが、これは、電子の量が少ないために、励起緩和衝突があまり生じないことに起因している。従ってプラズマシースは「ダークスペース」と称される場合もある。
図1を参照すると、公知のプラズマ処理装置の部分的な断面図が示されており、ここでは、プラズマ140のプラズマシース142が処理対象物138の前面に隣接している。処理対象物138の前面は、平面151を画定しており、処理対象物138がプラテン134に支持されている。プラズマ140とプラズマシース142との間の境界141は、平面151に平行である。プラズマ140からのイオン102は、プラズマシース142を通り処理対象物138に引き付けられうる。従って、処理対象物138へと加速して引き付けられるイオン102は、通常は、平面151に対して約0度の入射角度で(つまり、平面151に垂直に)処理対象物138に衝突する。3度未満程度の僅かな入射角度の角度拡がりは生じうる。加えて、処理チャンバ内の気圧といったプラズマ処理パラメータを制御することで、角度拡がりが5度程度に増加する場合もある。
従来のプラズマ処理の欠点は、イオン102の角度拡がり制御ができない点である。近年では、処理対象物の構造が小型化する傾向にあり、三次元構造(例えば、トレンチキャパシタ、FinFET等の垂直チャネルトランジスタ)が普及してきているので、大規模な角度制御を行うことが望ましい。例えば、図1には、明瞭化目的からトレンチ144がサイズを強調して描かれている。イオン102は約0度の入射角度、または角度拡がりが5度までで方向付けられており、トレンチ144の側壁147を均一に処理することが難しい。従って、上述した不都合および欠点を克服することのできるプラズマ処理装置が望まれている。
開示の第1の態様においては、プラズマ処理装置が提供される。プラズマ処理装置は、処理チャンバと、処理チャンバ内に設けられて、処理対象物を支持するプラテンと、処理対象物の前面に隣接するプラズマシースを有する処理チャンバにプラズマを生成させるソースと、絶縁変更器とを含む。絶縁変更器は、間隙平面がシースに最も近く間隙に近接した絶縁変更器の各部分により画定されており、間隙角度が、間隙平面と、プラズマに対向する処理対象物の前面が画定する処理対象物平面との間の角度である間隙を有する。絶縁変更器が生成する間隙角度はゼロではない。
開示の第2の態様においては、方法が提供される。方法は、処理対象物を処理チャンバに配置する段階と、処理対象物の前面に隣接するプラズマシースを有する処理チャンバにプラズマを生成する段階と、プラズマとプラズマシースとの間の境界の形状を、絶縁変更器により変更する段階と、プラズマからのイオンを、プラズマシースを通り処理対象物へと引き付ける段階とを備える。イオンの処理対象物に対する入射角度の範囲は、プラズマとプラズマシースとの間の境界の形状に依存しており、入射角度の範囲には、中央角度と角度分布とが含まれ、絶縁変更器が形成する中央角度は処理対象物平面に垂直ではない。
本開示のよりよい理解のために添付図面を参照しながら説明を行うが、図面においては同様の部材に同様の参照番号を付している。
先行技術による、従来のプラズマ処理装置の簡略化ブロック図である。 本開示の一実施形態におけるプラズマ処理装置のブロック図である。 本開示の一実施形態におけるプラズマドーピング装置のブロック図である。 プラズマとプラズマシースとの間の境界の形状を制御する一対の絶縁体の断面図である。 図4の境界を越えてイオンを加速して移動させた場合の軌跡を示す、図4に基づく断面図である。 図5のイオンの軌跡の角度分布をプロットした図である。 一対の絶縁体と処理対象物との間の垂直間隔を制御するシステムのブロック図である。 異なる垂直間隔を有するイオンの軌跡を示す、図7に基づく断面図である。 一対の絶縁体間の水平間隔を制御するシステムのブロック図である。 異なる水平間隔を有するイオンの軌跡を示す、図9に基づく断面図である。 処理対象物に対して一対の絶縁シートを移動させる走査システムを有するプラズマ処理装置のブロック図である。 絶縁シートと、ディスク形状の処理対象物との間の相対的な移動を示す、図11の絶縁シートの平面図である。 複数の絶縁体を有する、図11に基づく走査システムのブロック図である。 本開示の第2の実施形態におけるプラズマ処理装置のブロック図である。 プラズマとプラズマシースとの間の境界の形状を制御するために利用される2つの絶縁体の断面図である。 図15のイオンの軌跡の角度分布をプロットした図である。 一式の絶縁体と処理対象物との間の垂直間隔を制御するシステムのブロック図である。 第1の垂直間隔におけるイオンの軌跡を示す、図17に基づく断面図である。 第2の垂直間隔におけるイオンの軌跡を示す、図17に基づく断面図である。 絶縁体間の水平間隔を制御するシステムのブロック図である。 負の水平間隔におけるイオンの軌跡を示す、図20に基づく断面図である。 プラズマとプラズマシースとの間の境界の形状を制御するために利用される3つの絶縁体の断面図である。 図22のイオンの軌跡の角度分布をプロットした図である。 絶縁変更器のさらなる実施形態の断面図である。 絶縁変更器のさらなる実施形態の断面図である。 絶縁変更器のさらなる実施形態の断面図である。 処理対象物に対して絶縁シートを移動させる走査システムを有するプラズマ処理装置のブロック図である。 絶縁シートとディスク形状の処理対象物との間の相対的な移動を示す、図25の絶縁シートの平面図である。 複数の絶縁体を有する図25に基づく走査システムのブロック図である。
図2は、本開示の一実施形態における絶縁変更器208を有するプラズマ処理装置200のブロック図である。絶縁変更器208は、プラズマシース242内の電界を変更して、プラズマ140とプラズマシース242との間の境界241の形状を制御する。こうすることで、プラズマシース242を通りプラズマ140から引き付けられたイオン102は、入射角度の範囲で処理対象物138に衝突することとなる。
プラズマ処理装置200は、ここではさらにプラズマドーピング装置として記載されてよい。しかしプラズマ処理装置200には、エッチングシステム、堆積システム等が含まれてもよく、これらに限定もされない。さらには、プラズマドーピングシステムが処理対象物に対して様々に異なる材料変更処理を行うこともできる。これら処理の1つに、処理対象物(例えば半導体基板)に、望ましいドーパントをドーピングする処理が含まれる。
プラズマ処理装置200は、処理チャンバ202、プラテン134、ソース206、および絶縁変更器208を含んでよい。プラテン134は、処理チャンバ202内に載置されて、処理対象物138を支持する。処理対象物には、これらに限定はされないが、半導体ウェハ、フラットパネル、太陽パネル、およびポリマー基板が含まれる。半導体ウェハ、一実施形態では、300ミリメートル(mm)の直径を有するディスク形状を有してよい。ソース206は、当技術分野で知られているように、処理チャンバ202内でプラズマ140を生成する。図2の実施形態では、絶縁変更器208は、間に水平間隔(G)の間隙が画定された一対の絶縁体212および214を含む。他の実施形態では、絶縁変更器は絶縁体を1つだけ含んでもよい。一対の絶縁体212および214は、薄型の平面形状を有する一対のシートであってよい。他の実施形態では、一対の絶縁体212および214は、管形状、楔形状、および/または、間隙に近接して勾配が付けられたエッジ(beveled edge)等の他の形状であってもよい。
一実施形態では、一対の絶縁体212および214間に画定される間隙の水平間隔は、約6.0ミリメートル(mm)であってよい。また、一対の絶縁体212および214は、処理対象物138の前面が画定する平面151の上に垂直間隔(Z)を置いて設けられてもよい。一実施形態では、垂直間隔(Z)は約3.0mmであってよい。
動作においては、気体ソース288が、イオン化ガスを処理チャンバ202に供給する。イオン化ガスの例には、これらに限定はされないが、BF、Bl、N、Ar、PH、AsH、B、H、Xe、Kr、Ne、He、SiH、SiF、GeH、GeF、CH、CF、AsF、PF、およびPF5が含まれる。ソース206は、処理チャンバ202に提供された気体を励起してイオン化することによりプラズマ140を生成することができる。イオンは、様々なメカニズムを利用してプラズマシース242を通りプラズマ140から引き付けることができる。図2の実施形態では、バイアスソース290が、処理対象物138にバイアスをかけて、プラズマシース242を通りイオン102をプラズマ140から引き付ける。バイアスソース290は、DC電圧バイアス信号を提供するDC電源、または、RFバイアス信号を提供するRF電源であってよい。
絶縁変更器208は、プラズマシース242内の電界を変更して、プラズマ140とプラズマシース242との間の境界241の形状を制御することができるという利点を有する。図2の実施形態では、絶縁変更器208は、一対の絶縁体212および214を含む。絶縁体212、214は、石英、アルミナ、窒化ホウ素、ガラス、窒化シリコン等で形成されてよい。プラズマ140とプラズマシース242との間の境界241は、平面151に対して凸形状であってよい。バイアスソース290が処理対象物138にバイアスをかけると、イオン102は、大きな入射角度範囲で、プラズマシース242から絶縁体212および214の間の間隙を通って引き付けられる。例えば、軌跡271を辿ったイオンは、平面151に対して角度+θ度で処理対象物138に衝突する。例えば、軌跡270を辿ったイオンは、同じ平面151に対して約角度0度で処理対象物138に衝突する。例えば、軌跡269を辿ったイオンは、平面151に対して‐θ度で処理対象物138に衝突する。従って、入射角度の範囲は、0度を中心にして+θ度から‐θ度であってよい。加えて、イオン軌跡の一部が(例えばパス269と271)交差する場合もある。絶縁体212および214間の水平間隔(G)、平面151上の絶縁体の垂直間隔(Z)、絶縁体212および214の誘電率、その他のプラズマ処理パラメータを含むがこれらに限定はされない幾つかの要素によっては、入射角度(θ)の範囲は、0度を中心にして+60度から−60度の間であってよい。このようにすることで、イオン102は、処理対象物138の上の微細な三次元構造に均一に処理を施すことができる。例えば、図示を明瞭に行うためにサイズが強調されているトレンチ244の側壁247に対して、図1のものと比較してより均一にイオン102処理を施すことができる。
図3を参照すると、一実施形態におけるプラズマドーピング装置300のブロック図が示されている。図2の装置同様に、プラズマドーピング装置300は、一対の絶縁体212および214を有することで、プラズマ140とプラズマシース242との間の境界241の形状を制御する。
プラズマドーピング装置300は、封入される体積303を画定する処理チャンバ202を含む。気体ソース304は、処理チャンバ302に封入される体積303に対して、マスフローコントローラ306を通じて一次ドーパント気体を提供する。気体バッフル370を処理チャンバ202に設けることで、気体ソース304からの気体の流れを偏向させる。圧力計308は、処理チャンバ202内の圧力を計測する。真空ポンプ312は、排気ポート310から処理チャンバ202内を排気する。排気弁314は、排気ポート310により排気コンダクタンスを制御する。
プラズマドーピング装置300はさらに、マスフローコントローラ306、圧力計308、および排気弁314に電気的に接続された気圧コントローラ316を含んでよい。気圧コントローラ316は、圧力計308に呼応するフィードバックループにおいて、排気弁314で排気コンダクタンスを制御することにより、または、マスフローコントローラ306でプロセスガスの流量を制御することにより、処理チャンバ202内に所望の圧力を維持することができる。
処理チャンバ202は、誘電材料からなり略水平方向に延在する第1のセクション320を含むチャンバ上部318を有してよい。チャンバ上部318はさらに、誘電材料からなり第1のセクション320から略垂直方向に延在する第2のセクション322を含む。チャンバ上部318はさらに、導電性および熱伝導性のある材料からなり、第2のセクション322の上にまたがり水平方向に延在する蓋324を含む。
プラズマドーピング装置はさらに、処理チャンバ202内にプラズマ140を生成するためのソース301を含む。ソース301は、平面アンテナ326および螺旋アンテナ346の一方または両方にRF電力を供給してプラズマ140を生成させる電源等のRFソース350を含んでよい。RFソース350は、RFアンテナ326、346のインピーダンスにRFソース350の出力インピーダンスをマッチングさせてRFソース350からRFアンテナ326、346へ送られる電力を最大化させるインピーダンスマッチングネットワーク352により、アンテナ326、346に連結されてよい。
プラズマドーピング装置はさらに、プラテン134に電気的に連結されたバイアス電源390を含んでよい。プラズマドーピング装置はさらに、コントローラ356とユーザインタフェースシステム358とを含んでよい。コントローラ356は、所望の入出力機能を行うようプログラミング可能な汎用コンピュータまたは汎用コンピュータネットワークであってもよいし、または該汎用コンピュータまたは該汎用コンピュータネットワークを含むものとしてもよい。コントローラ356はさらに、通信デバイス、データ格納デバイス、およびソフトウェアを含んでよい。ユーザインタフェースシステム358は、タッチスクリーン、キーボード、ユーザポインティングデバイス、ディスプレイ、プリンタ等のデバイスを含むことで、ユーザに対してコマンドおよび/またはデータの入力を促したり、および/または、プラズマドーピング装置をコントローラ356経由で監視させたりすることができる。プラテン134の周りにはシールドリング394が設けられて、処理対象物138のエッジ付近に注入されたイオンの分布の均一性を向上させることができる。ファラデーカップ399等の1以上のファラデーセンサを、シールドリング394に設けることでイオンビーム電流を検知することもできる。
動作においては、気体ソース304が、処理対象物138へ注入するのに適したドーパントを含む一次ドーパントガスを供給する。ソース301により、処理チャンバ302内にプラズマ140が生成される。ソース301は、コントローラ356の制御を受けてよい。プラズマ140を生成する目的のために、RFソース350はRFアンテナ326、346の少なくとも一方でRF電流を共振させて、振動磁界を生成させる。振動磁界によって、RF電流は処理チャンバ202内へと誘導される。処理チャンバ202に入ったRF電流は一次ドーパントガスを励起、イオン化して、プラズマ140を生成する。
バイアス電源390は、パルスのON/OFF期間を有するパルス状のプラテン信号を提供し、プラテン134および処理対象物138へとバイアスがかかるので、イオンがプラズマ140からプラズマシース242を通り処理対象物138へと加速して到達する。イオン102は正の電荷を有するイオンなので、パルス状のプラテン信号のパルスのON期間には、処理チャンバ202に対して負の電圧パルスとなり、正の電荷のイオン102を引き付けることができる。パルス状のプラテン信号の周波数および/またはパルスのデューティサイクルは、所望のドーズレートを提供するように選択されてよい。パルス状のプラテン信号の振幅は、所望のエネルギーを提供することができるように選択されてよい。
一対の絶縁体212および214は、図2を参照して前述したようにプラズマ140とプラズマシース242との間の境界241の形状を制御することができるので好適である。従ってイオン102は、大きな範囲の入射角度で、プラズマシース242から絶縁体212および214の間の間隙を通って引き付けられて、処理対象物138にドーピングすることができる。
図4を参照すると、一対の絶縁体212、214および処理対象物138の部分的な断面図を示しており、絶縁体212および214が画定する間隙の周りのプラズマシース242の電界線が示されている。電界線、および、プラズマとプラズマシース242との間に形成される弓形の境界241は、−2000ボルトでバイアスされた処理対象物138とガラス製の絶縁体212および214とによるコンピュータシミュレーションにより得られる。図示されているように、間隙の周りの弓形の境界241はさらに、平面151に対して凸形状であってよい。
図5は、プラズマシース242から、絶縁体212および214の間の間隙を通って加速されるイオンの軌跡のシミュレーションを示す、図4に基づく断面図である。プラズマドーピング装置では、イオンが、境界241およびプラズマシース242内の電界線の形状によって、間隙間隔の中央領域の処理対象物138に注入されてよい。例えば、イオンは、絶縁体212および214の間の水平間隔(G1)全体のうち、中央水平間隔(G3)に対応する処理対象物138の部分に衝突する。本実施形態では、絶縁体212および214に近接している周辺の水平間隔(G2)(G4)に対応する対象物の部分にはイオンが衝突しない。
図6は、図5のイオンの軌跡に基づいて、処理対象物138に衝突するイオンの入射角度分布を示すプロット602である。ここに示すように、プロット602は、約0度を中心として、約+60度から−60度という大きな角度範囲で変化する入射角度を表している。このように大きな入射角度の範囲によって、三次元構造のコンフォーマルドーピングが可能となる。例えば、トレンチ構造の側壁が、この大きな入射角度の範囲によって、より均一にイオンをドーピングされる。
図7を参照すると、本開示における別の実施形態のブロック図が示されており、ここでは、絶縁変更器と、処理対象物138の前面が画定する平面151との間の垂直間隔(Z)を調節可能である。絶縁偏向器は、他の実施形態で詳述されるように一対の絶縁体212および214であってよい。一対の絶縁体212および214には、アクチュエータ702が機械的に連結されて、平面151に対して矢印720、722が示す垂直方向に絶縁体を駆動することができる。平面151に対する、および互いに対する一対の絶縁体212および214のZ位置は、プラズマとプラズマシースとの間の境界の形状、ひいては、処理対象物138に衝突するイオンの軌跡に影響を与える。アクチュエータ702は、コントローラ356等のコントローラにより制御されてよい。
図8は、図7に基づく断面図を示しており、全ての他のパラメータを同じにして、平面151に対する一対の絶縁体212および214のZ位置を異ならせたイオン軌跡を示す。第1の相対的に短いZ間隙位置820では、絶縁体212、214は、平面151の上に第1の距離(Z1)を置いて設けられている。比較的高さの高いZ間隙位置840では、絶縁体212、214が平面151の上に第2の距離(Z2)を置いて設けられており、(Z2)>(Z1)である。第1の位置820では、プラズマとプラズマシースとの間の境界841は、平面151に対して凸形状である。さらに境界841は、弓形形状の頂点が絶縁体212の上面から距離(Za)の位置にあるような円の外周の一部の形状に略近い形状を有する。これに対して、第2の位置840の境界843の形状は、弓形形状の頂点が絶縁体212の上面からより短い距離(Zb)の位置にあるような、より浅い形状である((Zb)<(Za))。境界841、843の形状と、Z間隙距離(Z1)および(Z2)、およびプラズマシースの電界線との組み合わせが、処理対象物138に衝突するイオンの角度拡がりに影響を及ぼす。例えば、相対的に短いZ間隙位置における処理対象物138に衝突するイオンの角度拡がりは、相対的に長いZ間隙位置820における処理対象物138に衝突するイオンの角度拡がりより大きい。加えて、イオンが処理対象物138に衝突する際の水平間隔は、より高いZ間隙位置における水平間隔(G6)よりも、より短いZ間隙位置820における水平間隔(G5)のほうが大きい((Z6)<(Z5))。図8には示されていないが、各絶縁体212および214の間で、Z間隙位置を互いに異ならせることによっても、プラズマとプラズマシースとの間の境界の形状ひいてはイオンの角度拡がりに影響が出る。
図9を参照すると、本開示における別の実施形態のブロック図が示されており、ここでは絶縁体212および214の間の水平間隔(G)を調節可能である。水平間隔の調節は、図8および図9を参照して前述した垂直間隔の調節の代わりに、またはこれに加えて行うことができる。アクチュエータ902は、一対の絶縁体212および214の少なくとも一方に機械的に連結されて、矢印906の示す方向に絶縁体を互いに対して駆動させる。アクチュエータ902は、コントローラ356等のコントローラにより制御されてよい。
図10は、図9に基づく断面図を示しており、全ての他のパラメータを同じにして、絶縁体212と214との間の間隙間隔を異ならせたイオン軌跡を示す。第1の相対的に短い水平間隙位置1020において、絶縁体212、214は、互いから第1の水平距離(Ga)を置いて設けられている。相対的に長い水平間隙位置1040では、絶縁体212、214は、互いから第2の水平距離(Gb)を置いて設けられており、(Gb)>(Ga)である。第1の位置1020では、プラズマとプラズマシースとの間の境界1041は、平面151に対して凸形状である。さらに境界1041は、円の外周の一部の形状に略近い形状を有する。これに対して、第2の位置1040の境界1043の形状は、平面151に対して凸形状であり、境界1043の中央部分が平面151に略平行である。この結果、処理対象物138の対応するより大きな中央部分に対して、平面151に対する入射角度約0度でイオンを衝突させることができるようになる。
図11は、処理対象物138に対して絶縁変更器208を駆動する走査システム1102を有するプラズマ処理装置1100のブロック図である。図11の実施形態では、絶縁変更器208は、図12にもっともよく示されている一対の正方形の絶縁シート1112および1114を含む。走査システム1102は、絶縁シート1112および1114に機械的に連結されることで、これらを駆動するアクチュエータ1104を含んでよい。アクチュエータ1104は、コントローラ356等のコントローラにより制御されてよい。
図12は、正方形の絶縁シート1112および1114と、ディスク形状の処理対象物138とを示す平面図であり、これらの間の相対移動の一例を示す。図12の実施形態では、走査システム1102が、正方形の絶縁シート1112および1114を、位置A、位置B、および位置C等というように移動させて、処理対象物138の全ての部分が、一対の正方形の絶縁シート1112および1114が画定する間隙により露呈するようにする。図12に詳述するようなデカルト座標系を定義するとき、絶縁シート1112および1114は、図12のX方向に駆動される。他の実施形態では、絶縁シート1112および1114、または別の絶縁シートのセットが、Y方向に、または、X方向およびY方向の間の任意の角度で駆動されてもよい。加えて、走査システム1102が絶縁シート1112および1114を一方向に駆動する間に、処理対象物138が回転されてもよい。処理対象物138は、走査システム1102が絶縁シートを一方向に駆動した後で、所定の回転角度分回転されてもよい。一例では、回転は処理対象物の中心軸周りになされてよい(矢印1124)。
図13を参照すると、図11における走査システム1102が示されている。図11と比較すると、図13の走査システム1102は、間に複数の間隙1303−1、1303−2、…、1303−nを画定する複数の絶縁体1302−1、1302−2、1302−3、…、1302−(n−1)、および1302−nを含んでいる。走査システムは、処理対象物138に対して複数の絶縁体1302−1、1302−2、1302−3、…、1302−(n−1)、および1302−nを駆動させて、複数の間隙1303−1、1303−2、…、1303−nに処理対象物138を通過させる。
図14は、図2のプラズマ処理装置の第2の実施形態を示す。上述したように、プラズマ処理装置200は、処理チャンバ202、プラテン134、ソース206、および絶縁変更器248を含んでよい。図14の実施形態では、絶縁変更器248は、間に水平間隔(G)の間隙が画定される絶縁体252および254を含む。他の実施形態では、絶縁変更器248は絶縁体を1つだけ含んでもよい。一実施形態では、絶縁体252および254間に画定される間隙の水平間隔は、シースの厚みおよび所望の角度分布に応じて、約1および60ミリメートル(mm)の間であってよい。
絶縁体252および254は、処理対象物138の前面が画定する平面151の上に垂直間隔(Z1、Z2)を置いて設けられてよい。一実施形態では、近いほうの垂直間隔(Z1)は約1および10mmの間であってよい。一部の実装例では、絶縁体の高さの差(つまりZ2−Z1)は、シースの厚みおよび所望の角度分布に応じて、約0および40mmの間であってよい。図14では、絶縁体252の垂直方向の高さが絶縁体254のものより大きく示されているが、そのほうが望ましい場合には、絶縁体254の垂直方向の高さのほうが絶縁体252のものより大きくてもよい。
2つの絶縁体の垂直方向の高さの差によって、平面151に対する間隙角度が形成される。間隙角度は、シースに一番近く間隙に近接している絶縁体252のエッジおよびシースに一番近く間隙に近接している絶縁体254のエッジを通る平面257を形成して計測される。平面257と平面151との間の角度によって間隙角度(Ψ)が画定される。一部の実施形態では、間隙幅(δ)を、水平方向にではなくて、平面257沿いに計測する。間隙幅(δ)は水平間隔(G)と相関しており、δ=G/cos(Ψ)で表される式で求められる。本式において、Ψは間隙角度を表す。間隙幅(δ)は、0および40mmの間であってよい。一部の実施形態では、水平間隔が0または負である場合もある(絶縁体同士が重なる場合にそうなる)。差分Z2―Z1を大きくしつつ、さらに0mmまたは負の水平間隔とすることにより、非常に大きな中央角度(例えば80度を超える角度)をもたらすことができる。
以下に詳述するように、開示される装置を利用して、イオンの角度分布を生成することができる。これらの角度分布は(例えば図16および図23に示す)、2つのパラメータにより特徴づけることができる。第1のパラメータは中央角度であり、これは、角度分布の中央を形成する角度のことである。中央角度は、平面151に直交する方向からの角度偏差として定義される。つまり、平面151に、中央角度0度で垂直に衝突するイオンのことである。入射角度が平面151に対してより平行になるほど、その値は増加する。
図16においては、中央角度が約45度である。図23では、−45度と+45度という2つの中央角度が存在している。第2のパラメータは、角度拡がり、あるいは、角度範囲である。これは、中央角度の周りのイオンの分布のことである。つまり、全てのイオンが同じ角度で処理対象物に衝突するわけではなく、中央角度の周りに角度分布をもって到達する。図16では、角度分布が大体35度から55度であるので、角度拡がり(または範囲)が約20度ということになる。同様にして、図23の角度拡がり(または角度分布)も、約20度である。
間隙角度(Ψ)は中央角度の定義の一助となる。処理対象物平面151に垂直ではない中央角度(つまりゼロではない中央角度)を生じさせるために、間隙角度(Ψ)をゼロではない値にすることができる。言い換えると、ゼロではない間隙角度(Ψ)とは、間隙平面257が処理対象物平面151に平行ではないことを示唆している。間隙角度(Ψ)をゼロではない値にすることにより、中央角度が、処理対象物平面151に垂直ではなくなるように変化する。より大きな間隙角度(>30度)により、通常は、中央角度にもより大きな偏差が生じる(>30度)。間隙角度(間隙平面257と処理対象物平面151とが略平行である場合)がより小さければ、中央角度(<10度)も、より小さくなる。
プラズマ140とプラズマシース242との間の境界241は、平面151に対して不規則な形状であってもよい。バイアスソース290が処理対象物138にバイアスをかけると、イオン102は、大きな範囲の中央角度で、プラズマシース242から絶縁体252および254の間の間隙を通って引き付けられる。例えば、イオンは処理対象物138に、平面151に対してゼロではない中央角度+θ度で衝突する。絶縁体の垂直間隔が逆になった場合には、イオンは処理対象物138に、平面151に対してゼロではない中央角度−θ度で衝突する。従って入射角度の範囲が約θ度を中心にして、θは−80度および+80度の間である。絶縁体252および254の間の水平間隔(G)、平面151の上の各絶縁体の垂直間隔(Z1、Z2)、間隙幅(δ)、間隙角度(Ψ)、垂直間隔の差分(Z2−Z1)、絶縁体252および254の誘電率、絶縁体252および254の誘電体厚、その他のプラズマ処理パラメータを含むがこれらに限られはしない幾つかの要素に応じて、入射角度(θ)の範囲および中央を変更することができる。例えば、角度分布は+5度および−5度の間であってよく、中央角度は−80度および+80度の間であってよい。他の実施形態では、角度分布がこれより大きくても(小さくても)よい。同様に、中央角度を変更して、他の値を得ることもできる。このようにすることで、イオン102は、処理対象物138の上の微細な三次元構造に均一に処理を施すことができる。
図15は、プラズマシース242から絶縁体252および254の間の間隙を通って加速されるイオンの軌跡のシミュレーションを示す断面図である。プラズマドーピング装置では、イオンが、境界241およびプラズマシース242内の電界線の形状によって、間隙間隔の中央領域の処理対象物138に注入されてよい。例えば、2つの絶縁体252および254の間の垂直間隔の差分によって、イオンはスペース(G7)に、ゼロではない角度で処理対象物に衝突する。本実施形態では、スペースG7の外の絶縁体に近接した処理対象物にはイオンはあまり衝突しない。
図16は、図15のイオンの軌跡に基づく、処理対象物138に衝突するイオンの入射角度の分布のプロット603である。示されているように、プロット603により、入射角度が約45度のゼロではない中央角度を中心としており、この中央角度の周りに約20度の角度分布であることが分かる。他の実施形態では、中央角度が−80度から+80度の間で変化して、中央角度の周りの角度分布が、約+20から−20度で変化してもよい。この入射角度の範囲によって、三次元構造のコンフォーマルドーピングが可能となる。
間隙幅(δ)を変化させることで、絶縁体間の間隔(Z2−Z1)および処理対象物に対する絶縁体の位置(Z1)、中央角度および角度分布が変更されて、広範囲の値が達成される(大きな中央角度(>60度)で小さな角度分布(<5度)、大きな中央角度(>60度)で大きな角度分布(>10度)、小さな中央角度(<40度)で大きな角度分布(>10度)、および、小さな中央角度(<40度)で小さな角度分布(<5度)を含むがこれらに限定はされない)。
図17を参照すると、本明細書開示における別の実施形態のブロック図が示されており、ここでは、処理対象物138の前面が画定する平面151と絶縁変更器との間の垂直間隔(Z1、Z2)を調節可能である。絶縁偏向器は、他の実施形態で詳述されるように一対の絶縁体252および254であってよい。絶縁体252および254には、アクチュエータ703a、bがそれぞれ機械的に連結されて、平面151に対して矢印730、732が示す垂直方向に絶縁体を駆動することができる。平面151に対する、および互いに対する絶縁体252および254のZ位置は、プラズマとプラズマシースとの間の境界の形状、ひいては、処理対象物138に衝突するイオンの軌跡に影響を与える。アクチュエータ703a、bは、コントローラ356a、b等のコントローラにより制御されてよい。他の実施形態では、単一のコントローラを利用してアクチュエータ703a、bを両方とも制御することもできる。
図18および図19は、図17に基づく断面図を示しており、全ての他のパラメータを同じにして、平面151に対する絶縁体252および254のZ位置を異ならせたイオン軌跡を示す。図18では、絶縁体252、254は、垂直方向に(Z2a−Z1)の距離に相当する間隔を空けて設けられている。図19では、絶縁体252、254は、第2の垂直間隔(Z2b−Z1)で設けられており、ここでZ2b>Z2aである。従って、図19の間隙角度(Ψ)のほうが大きい。図18では、プラズマとプラズマシースとの間の境界863は、平面151に対して実質的に凸形状である。これに対して、図19では、境界963はより浅い形状である。境界863、963の形状と、Z間隙距離(Z1)および(Z2a、Z2b)、間隙角度(Ψ)、およびプラズマシースの電界線との組み合わせが、処理対象物138に衝突するイオンの中央角度に影響を及ぼす。例えば、相対的に短い垂直間隔(より小さい間隙角度)で処理対象物138に衝突するイオンの中央角度のほうが、相対的に長い垂直間隔(より大きい間隙角度)で処理対象物138に衝突するイオンの中央角度よりも、ゼロ度に近い、つまり、処理対象物に対してより垂直角度に近い角度で衝突する(図19に示す)。
別の実施形態では、絶縁体同士の間の垂直間隔(Z2−Z1)は維持しつつ、Z1を変化させることもできる。これにより、間隙角度(Ψ)を維持しつつ、処理対象物に対して絶縁体を近づけたり遠ざけたりする効果が得られる。この実施形態では、Z1が変化する間、中央角度は一定に保たれて、角度分布は変化する。一部の実施形態では、角度分布はZ1が小さくなるにつれて増加して、Z1の値が小さくなると、角度分布が20−30度になる。つまり、例えばZ1をある値とすることにより、中央角度の周りの角度分布が5−10となり、Z1の値を小さくすることにより、角度分布が20−30度となったりする。この効果は、絶縁体が処理対象物に対して移動するにつれて変化するプラズマとプラズマシースとの間の境界の形状の変化によるものである。
図20を参照すると、本明細書開示における別の実施形態のブロック図が示されており、ここでは、絶縁体252および254の間の水平間隔(G)を調節可能である。水平間隔の調節は、図18および図19を参照して前述した垂直間隔の調節の代わりに、またはこれに加えて行うことができる。アクチュエータ912は、絶縁体252および254の少なくとも一方に機械的に連結されて、矢印916の示す方向に絶縁体を互いに対して駆動させる。アクチュエータ912は、コントローラ356等のコントローラにより制御されてよい。水平間隔(G)を変更すると、間隙幅(δ)および間隙角度(Ψ)の両方に影響が与えられる。
一実施形態では、絶縁体間の水平間隔(G)を変化させる。水平間隙の間隔の変更を利用することで、中央角度および角度分布の両方に影響を与えることができる。例えば、図21に示すように絶縁体同士を重ね合わせることにより、水平間隙の間隔を0に低減させる、または負にすることにより、中央角度を非常に大きなものにすることができる。水平間隙の間隔を小さな正の値にすることで、Z1およびZ2の値によっては間隙角度(Ψ)が大きくなり、中央角度がより大きくなる。水平間隙の間隔を大きな正の値にすることで、間隙角度(Ψ)が小さくなり、中央角度がより小さくなる。
図23に示すような二相性の角度拡がり1200は、図22の構成を利用して生成することができる。二相性の角度拡がりは、第1の中央角度が第1の角度分布を有し、第2の中央角度が第2の角度分布を有することを意味する。二相性の角度拡がりはさらに、図15に示すような2つの絶縁体のみの相対的な垂直位置を変更することによっても生成することができる。図22の実施形態では、少なくとも3つの絶縁体1400、1402、1404を利用している。外部の2つの絶縁体1400、1404を同じ垂直線(Z2)上に配置して、同じ水平間隔G8、G9を絶縁体同士の間に維持することにより、+/−θ度を中心とする対称な二相性の角度拡がり1200が生成される。上述したように、中央角度は、外部の絶縁体1400、1404、および、中間の絶縁体1402の間の垂直間隔を変化させることで変更することができ、これにより、間隙角度(Ψ)が変化する。角度拡がりは、絶縁体1400、1402、1404の間の水平間隔(G8、G9)を変化させることで変更することができ、これにより、間隙幅(δ)が変化する。非対称の分布は、G9とは異なるようにG8を選択することで、またはこれらのアクションの組み合わせにより、Z2bとは異なるようにZ2aを生成することで生成可能である。
前述した実施形態は、平面絶縁体を示したが、これは本開示の必要要件ではない。図24A−図24Cは、絶縁体の他の実施形態のいくつかを示す。図24Aは、逆「V」形状の絶縁体構成を示す。上述したように、プラズマシースは、絶縁体の形状をとっている。従ってシースは、対応する逆「V」形状である。絶縁体1500の間隙によって、イオンが絶縁体を通ることができる。逆「V」形状の勾配は、φと定義されており、イオン分布の中央角度を定義している。本実施形態における間隙角度(Ψ)は、φを補完する。間隙Gc、Gdは、角度拡がりα1、α2をそれぞれ定義している。図24Aおよび図24Bを比較すると分かるように、大きな間隙幅(Gc等)は、小さな間隙幅Gd(つまりα1>α2)よりも大きな角度広がりを生じさせる。図24Cは別の実施形態を示しており、ここでは、絶縁体1502が非線形であり(つまり、曲線状であり)、間隙幅Geが処理対象物138に対して角度を持っている。上述したように、間隙角度により中央角度が決定され、複数の間隙のそれぞれの幅によって角度拡がりが決定される。
他の実施形態も同様に可能であり、本開示の範囲内に含まれる。例えば一部の実施形態では、互いに分離されて間に間隙が形成された2以上の絶縁体を利用することができる。絶縁体間のこの間隙を通ることで、イオンは処理対象物に到達する。他の実施形態では、少なくとも1つの開口または間隙を有する単一の絶縁体を利用して、イオンを通してもよい。
システム開発には幾つか考慮すべき点がある。より高い間隙角度(Ψ)により、イオン分布の中央角度が大きくなる。平面257沿いの開口の長さにより、間隙の幅(δ)が画定される。間隙幅(δ)は、イオン分布の角度拡がりに影響を及ぼす。これら2つの変数は互いに独立している点が重要である。つまり、間隙角度(Ψ)は、間隙幅(δ)を変更することなく変更することができる。同様に、間隙角度(Ψ)に影響を及ぼすことなく間隙幅(δ)を変更することができる。別の変数としては、間隙(または絶縁体)から処理対象物138までの距離が挙げられる。この変数も、他の2つの変数とは独立していてよい。独立した水平および垂直アクチュエータ(図17および図20参照)を利用することにより、これらパラメータを決定する際の柔軟性が最大になる。
図25は、処理対象物138に対して絶縁変更器248を移動させる走査システム1602を有するプラズマ処理装置1600のブロック図である。図25の実施形態では、絶縁変更器248は、図26にもっともよく示されている正方形の絶縁シート1612および1614を含む。走査システム1602は、絶縁シート1612および1614に機械的に連結されることで、これらを垂直および水平方向両方に駆動する1以上のアクチュエータ1604を含んでよい。アクチュエータ1604は、コントローラ356等のコントローラにより制御されてよい。
図26は、正方形の絶縁シート1612および1614、および、ディスク形状の処理対象物138の平面図であり、これらの間の相対的な移動の一例を示す。図26の実施形態では、走査システム1602が、正方形の絶縁シート1612および1614を、位置A、位置B、および位置C等というように移動させて、処理対象物138の全ての部分が、正方形の絶縁シート1612および1614が画定する間隙により露呈するようにする。図26に詳述するようなデカルト座標系を定義するとき、絶縁シート1612および1614は、図26のX方向に駆動される。他の実施形態では、絶縁シート1612および1614、または別の絶縁シートのセットが、Y方向に、または、X方向およびY方向の間の任意の角度で駆動されてよい。加えて、走査システム1602が絶縁シート1612および1614を一方向に駆動する間に、処理対象物138が回転されてもよい。処理対象物138は、走査システム1602が絶縁シートを一方向に駆動した後で、所定の回転角度分回転されてもよい。一例では、回転は処理対象物の中心軸周りなされてよい(矢印1624)。
図25の走査システムは、2つの絶縁板が互いから垂直間隔を置いて設けられているものとして示されているが、他の実施形態も可能である。例えば図22に示すように、走査システムが3つの絶縁板を利用して形成され、これにより2つの間隙が設けられていてもよい。加えて、別の形状(例えば図24A-Cに示すようなもの)を走査システムに利用することもできる。さらに、これら図面に示すパターンを複製して、多数の間隙を処理対象物の幅または長さ方向に設けることもできる。一部の実施形態では、全ての間隙が生じる角度分布が同じであってもよい(例えば図15から図16に示すようなもの)。他の実施形態としては、間隙により+/−θ度という反対の分布が生じてもよい(例えば図22から図23に示すようなもの)。他の実施形態においては、間隙それぞれが異なる角度分布生じてもよい。この実施形態では、処理対象物が経る最後の角度分布は、様々な角度分布を合計したものとなる。
図27を参照すると、図25における走査システム1602が示されている。図25と比較すると、図27の走査システム1602は、間に複数の間隙1703−1、1703−2、…、1703−nを画定する複数の絶縁体1702−1、1702−2、1702−3、…、1702−(n−1)、および1702−nを含んでいる。走査システムは、処理対象物138に対して複数の絶縁体1702−1、1702−2、1702−3、…、1702−(n−1)、および1702−nを駆動して、複数の間隙1703−1、1703−2、…、1703−nに処理対象物138を通過させる。
間隙角度(Ψ)の変更は、間隙間隔を変更することにより、または、垂直間隔(Z2−Z1)を変更することにより行うことができる。間隙角度の変更により、中央角度が影響される場合がある。角度分布の変更は、絶縁体の高さ(Z1)の変更、または、間隙間隔の変更により行うことができる。これら3つのパラメータ(Z2、Z1、よび間隙間隔)全てを変更することで、所望の角度分布または角度広がりを有する所望の中央角度を生成することができる。
加えて、絶縁体252および254を冷却すると好適である。一部の実施形態では、これら絶縁体は、埋め込みチャネルを有してよく、ここに流体(液体または気体等)を流して放熱することもできる。他の実施形態では、絶縁体を良好な熱導体として、熱シンクに接触させることもできる。
このようにして、プラズマとプラズマシースとの間の境界の形状を制御する絶縁変更器が提供される。プラズマシースを通りプラズマから引き付けられる粒子は、このようにして、関連する処理対象物に、大きな範囲の入射角度で衝突することとなる。分布の中央角度は、処理対象物の前面が画定する処理対象物平面に対して垂直ではなくてよく、絶縁変更器を適切に配置することで変更可能である。同様に、中央角度の周りの角度拡がりを変更することもできる。一例では、中央角度の範囲は、+80度および−80度の間の大きさであってよい。拡がりは10−20度の大きさであってよい。プラズマドーピングへの用途では、処理対象物の微細な三次元構造に対して均一にドーピングを行うことができる。例えば、トレンチ244の側壁247(図2参照)に対して、相対的にかなり小さな範囲の入射角度の従来のプラズマドーピング装置よりも均一にドーピングを行うことができる。
本開示は、ここに記載した特定の実施形態の範囲に限定されない。前述の記載および添付図面を検討した当業者にとっては、本開示から、ここに記載されたものに加えて、他の様々な実施形態および変形例が明らかである。従って、このような他の実施形態および変形例も本開示の範囲に含むことを意図している。さらに、本開示はここでは特定の目的で特定の環境下で特定の実装例で記載されたが、当業者であれば、有用性はこれに限定されず、本開示は多数の環境下で多数の目的で実装しても同様に効果を奏することを理解する。従って、以下に記載する請求項は、ここに記載された開示の全範囲および精神に鑑みて解釈されるべきである。
開示の第2の態様においては、方法が提供される。方法は、処理対象物を処理チャンバに配置する段階と、処理対象物の前面に隣接するプラズマシースを有する処理チャンバにプラズマを生成する段階と、プラズマとプラズマシースとの間の境界の形状を、絶縁変更器により変更する段階と、プラズマからのイオンを、プラズマシースを通り処理対象物へと引き付ける段階とを備える。イオンの処理対象物に対する入射角度の範囲は、プラズマとプラズマシースとの間の境界の形状に依存しており、入射角度の範囲には、中央角度と角度分布とが含まれ、絶縁変更器が形成する中央角度はプラズマに対向する処理対象物の前面が画定する処理対象物平面に垂直ではない。
絶縁変更器208は、プラズマシース242内の電界を変更して、プラズマ140とプラズマシース242との間の境界241の形状を制御することができるという利点を有する。図2の実施形態では、絶縁変更器208は、一対の絶縁体212および214を含む。絶縁体212、214は、石英、アルミナ、窒化ホウ素、ガラス、窒化シリコン等で形成されてよい。プラズマ140とプラズマシース242との間の境界241は、平面151に対して凸形状であってよい。バイアスソース290が処理対象物138にバイアスをかけると、イオン102は、大きな入射角度範囲で、プラズマシース242から絶縁体212および214の間の間隙を通って引き付けられる。例えば、軌跡271を辿ったイオンは、平面151に対して角度+θ度で処理対象物138に衝突する。例えば、軌跡270を辿ったイオンは、同じ平面151に対して約角度0度で処理対象物138に衝突する。例えば、軌跡269を辿ったイオンは、平面151に対して‐θ度で処理対象物138に衝突する。従って、入射角度の範囲は、0度を中心にして+θ度から‐θ度であってよい。加えて、イオン軌跡の一部が(例えば軌跡269と271)交差する場合もある。絶縁体212および214間の水平間隔(G)、平面151上の絶縁体の垂直間隔(Z)、絶縁体212および214の誘電率、その他のプラズマ処理パラメータを含むがこれらに限定はされない幾つかの要素によっては、入射角度(θ)の範囲は、0度を中心にして+60度から−60度の間であってよい。このようにすることで、イオン102は、処理対象物138の上の微細な三次元構造に均一に処理を施すことができる。例えば、図示を明瞭に行うためにサイズが強調されているトレンチ244の側壁247に対して、図1のものと比較してより均一にイオン102処理を施すことができる。
プラズマドーピング装置はさらに、処理チャンバ202内にプラズマ140を生成するためのソース301を含む。ソース301は、平面アンテナ326および螺旋アンテナ346の一方または両方にRF電力を供給してプラズマ140を生成させる電源等のRFソース350を含んでよい。RFソース350は、RFアンテナ326、346のインピーダンスにRFソース350の出力インピーダンスをマッチングさせてRFソース350から平面アンテナ326、及び螺旋アンテナ346へ送られる電力を最大化させるインピーダンスマッチングネットワーク352により、アンテナ326、346に連結されてよい。
動作においては、気体ソース304が、処理対象物138へ注入するのに適したドーパントを含む一次ドーパントガスを供給する。ソース301により、処理チャンバ302内にプラズマ140が生成される。ソース301は、コントローラ356の制御を受けてよい。プラズマ140を生成する目的のために、RFソース350は平面アンテナ326、及び螺旋アンテナ346の少なくとも一方でRF電流を共振させて、振動磁界を生成させる。振動磁界によって、RF電流は処理チャンバ202内へと誘導される。処理チャンバ202に入ったRF電流は一次ドーパントガスを励起、イオン化して、プラズマ140を生成する。
図8は、図7に基づく断面図を示しており、全ての他のパラメータを同じにして、平面151に対する一対の絶縁体212および214のZ位置を異ならせたイオン軌跡を示す。第1の相対的に短いZ間隙位置820では、絶縁体212、214は、平面151の上にZ間隙距離(Z1)を置いて設けられている。比較的高さの高いZ間隙位置840では、絶縁体212、214が平面151の上にZ間隙距離(Z2)を置いて設けられており、(Z2)>(Z1)である。Z間隙位置820では、プラズマとプラズマシースとの間の境界841は、平面151に対して凸形状である。さらに境界841は、弓形形状の頂点が絶縁体212の上面から距離(Za)の位置にあるような円の外周の一部の形状に略近い形状を有する。これに対して、Z間隙位置840の境界843の形状は、弓形形状の頂点が絶縁体212の上面からより短い距離(Zb)の位置にあるような、より浅い形状である((Zb)<(Za))。境界841、843の形状と、Z間隙距離(Z1)および(Z2)、およびプラズマシースの電界線との組み合わせが、処理対象物138に衝突するイオンの角度拡がりに影響を及ぼす。例えば、相対的に短いZ間隙位置における処理対象物138に衝突するイオンの角度拡がりは、相対的に長いZ間隙位置820における処理対象物138に衝突するイオンの角度拡がりより大きい。加えて、イオンが処理対象物138に衝突する際の水平間隔は、より高いZ間隙位置における水平間隔(G6)よりも、より短いZ間隙位置820における水平間隔(G5)のほうが大きい((Z6)<(Z5))。図8には示されていないが、各絶縁体212および214の間で、Z間隙位置を互いに異ならせることによっても、プラズマとプラズマシースとの間の境界の形状ひいてはイオンの角度拡がりに影響が出る。
図10は、図9に基づく断面図を示しており、全ての他のパラメータを同じにして、絶縁体212と214との間の間隙間隔を異ならせたイオン軌跡を示す。第1の相対的に短い水平間隙位置1020において、絶縁体212、214は、互いから第1の水平距離(Ga)を置いて設けられている。相対的に長い水平間隙位置1040では、絶縁体212、214は、互いから第2の水平距離(Gb)を置いて設けられており、(Gb)>(Ga)である。水平間隙位置1020では、プラズマとプラズマシースとの間の境界1041は、平面151に対して凸形状である。さらに境界1041は、円の外周の一部の形状に略近い形状を有する。これに対して、水平間隙位置1040の境界1043の形状は、平面151に対して凸形状であり、境界1043の中央部分が平面151に略平行である。この結果、処理対象物138の対応するより大きな中央部分に対して、平面151に対する入射角度約0度でイオンを衝突させることができるようになる。
絶縁体252および254は、処理対象物138の前面が画定する平面151の上にZ間隙距離(Z1、Z2)を置いて設けられてよい。一実施形態では、近いほうのZ間隙距離(Z1)は約1および10mmの間であってよい。一部の実装例では、絶縁体の高さの差(つまりZ2−Z1)は、シースの厚みおよび所望の角度分布に応じて、約0および40mmの間であってよい。図14では、絶縁体252の垂直方向の高さが絶縁体254のものより大きく示されているが、そのほうが望ましい場合には、絶縁体254の垂直方向の高さのほうが絶縁体252のものより大きくてもよい。
プラズマ140とプラズマシース242との間の境界241は、平面151に対して不規則な形状であってもよい。バイアスソース290が処理対象物138にバイアスをかけると、イオン102は、大きな範囲の中央角度で、プラズマシース242から絶縁体252および254の間の間隙を通って引き付けられる。例えば、イオンは処理対象物138に、平面151に対してゼロではない中央角度+θ度で衝突する。絶縁体の垂直間隔が逆になった場合には、イオンは処理対象物138に、平面151に対してゼロではない中央角度−θ度で衝突する。従って入射角度の範囲が約θ度を中心にして、θは−80度および+80度の間である。絶縁体252および254の間の水平間隔(G)、平面151の上の各絶縁体のZ間隙距離(Z1、Z2)、間隙幅(δ)、間隙角度(Ψ)、垂直間隔の差分(Z2−Z1)、絶縁体252および254の誘電率、絶縁体252および254の誘電体厚、その他のプラズマ処理パラメータを含むがこれらに限られはしない幾つかの要素に応じて、入射角度(θ)の範囲および中央を変更することができる。例えば、角度分布は+5度および−5度の間であってよく、中央角度は−80度および+80度の間であってよい。他の実施形態では、角度分布がこれより大きくても(小さくても)よい。同様に、中央角度を変更して、他の値を得ることもできる。このようにすることで、イオン102は、処理対象物138の上の微細な三次元構造に均一に処理を施すことができる。
図17を参照すると、本明細書開示における別の実施形態のブロック図が示されており、ここでは、処理対象物138の前面が画定する平面151と絶縁変更器との間のZ間隙距離(Z1、Z2)を調節可能である。絶縁偏向器は、他の実施形態で詳述されるように一対の絶縁体252および254であってよい。絶縁体252および254には、アクチュエータ703a、bがそれぞれ機械的に連結されて、平面151に対して矢印730、732が示す垂直方向に絶縁体を駆動することができる。平面151に対する、および互いに対する絶縁体252および254のZ位置は、プラズマとプラズマシースとの間の境界の形状、ひいては、処理対象物138に衝突するイオンの軌跡に影響を与える。アクチュエータ703a、bは、コントローラ356a、b等のコントローラにより制御されてよい。他の実施形態では、単一のコントローラを利用してアクチュエータ703a、bを両方とも制御することもできる。

Claims (19)

  1. プラズマ処理装置であって、
    処理チャンバと、
    前記処理チャンバ内に設けられて、処理対象物を支持するプラテンと、
    前記処理対象物の前面に隣接するプラズマシースを有する前記処理チャンバにプラズマを生成させるソースと、
    間隙を有する絶縁変更器と、
    前記処理対象物にバイアスをかけて、前記プラズマからのイオンを、前記プラズマシースを通り前記処理対象物へと、前記処理対象物を処理するべく引き付けるバイアスソースと
    を備え、
    前記間隙において、間隙平面が前記シースに最も近く前記間隙に近接した前記絶縁変更器の各部分により画定されており、間隙角度が、前記間隙平面と、前記プラズマに対向する前記処理対象物の前記前面が画定する処理対象物平面との間の角度であり、
    前記イオンの前記処理対象物平面に対する入射角度の範囲は、前記プラズマと前記プラズマシースとの間の境界の形状に依存しており、前記入射角度の範囲には、中央角度と前記中央角度の周りの角度分布とが含まれ、前記中央角度は前記処理対象物平面に垂直ではない
    プラズマ処理装置。
  2. 前記絶縁変更器は、間に前記間隙を有する第1の絶縁体と第2の絶縁体とを少なくとも有し、
    前記間隙平面は、前記第1の絶縁体の第1エッジと前記第2の絶縁体の第2エッジとにより画定され、前記第1エッジは、前記プラズマに最も近く前記間隙に近接した前記第1の絶縁体の側面にあり、前記第2エッジは、前記プラズマに最も近く前記間隙に近接した前記第2の絶縁体の側面にある請求項1に記載のプラズマ処理装置。
  3. 前記第1の絶縁体および前記第2の絶縁体は、絶縁シートを含む請求項2に記載のプラズマ処理装置。
  4. 前記絶縁体の少なくとも一方に機械的に連結され、前記間隙の水平間隔を調節するアクチュエータをさらに備える請求項2に記載のプラズマ処理装置。
  5. 前記絶縁体の少なくとも一方に機械的に連結され、前記第1の絶縁体および前記第2の絶縁体の間の垂直間隔を調節するアクチュエータをさらに備える請求項2に記載のプラズマ処理装置。
  6. 前記第1の絶縁体および前記第2の絶縁体は石英から生成される請求項2に記載のプラズマ処理装置。
  7. 前記処理対象物に対して前記絶縁体を移動させる走査システムをさらに備える請求項2に記載のプラズマ処理装置。
  8. 前記絶縁変更器は、第1の絶縁体、第3の絶縁体、および、前記第1の絶縁体と前記第3の絶縁体との間に設けられた第2の絶縁体を少なくとも有し、
    前記第1の絶縁体、前記第3の絶縁体、および前記第2の絶縁体は、間に2つの間隙を画定しており、
    前記第1の絶縁体および前記第3の絶縁体は、前記処理対象物平面からの第1の垂直間隔を有し、前記第2の絶縁体は前記処理対象物平面からの第2の垂直間隔を有し、前記第2の垂直間隔は前記第1の垂直間隔とは異なる請求項1に記載のプラズマ処理装置。
  9. 前記絶縁変更器は、各々が第1端部と第2端部とを有する第1の絶縁体および第2の絶縁体を有し、
    前記第1の絶縁体の第2端部と前記第2の絶縁体の前記第1端部とは接触しており、互いに対して角度を持って配置されており、前記絶縁体のうち少なくとも一方が間隙を含む請求項1に記載のプラズマ処理装置。
  10. 処理対象物を処理する方法であって、
    前記処理対象物を処理チャンバ内に載置する段階と、
    前記処理対象物の前面に隣接するプラズマシースを有する前記処理チャンバにプラズマを生成する段階と、
    前記プラズマと前記プラズマシースとの間の境界の形状を、絶縁変更器により変更する段階と、
    前記プラズマからのイオンを、前記プラズマシースを通り前記処理対象物へと引き付ける段階と
    を備え、
    前記イオンの、平面に対する入射角度の範囲は、前記プラズマと前記プラズマシースとの間の前記境界の形状に依存しており、前記イオンの前記入射角度の範囲には、中央角度と前記中央角度の周りの角度分布とが含まれ、前記中央角度は、前記プラズマに対向する前記処理対象物の前面が画定する処理対象物平面に垂直ではない
    方法。
  11. 前記絶縁変更器は間隙を有し、
    前記間隙において、間隙平面が前記シースに最も近く前記間隙に近接した前記絶縁変更器の各部分により画定されており、間隙角度が、前記間隙平面と、前記プラズマに対向する前記処理対象物の前面が画定する平面との間の角度であり、前記間隙角度はゼロではない請求項10に記載の方法。
  12. 前記絶縁変更器は、第1の絶縁体と第2の絶縁体とを有し、
    前記変更する段階は、前記第1の絶縁体と前記第2の絶縁体との間に前記間隙を生成する段階を有する請求項11に記載の方法。
  13. 前記間隙の水平間隔を調節する段階をさらに備える請求項11に記載の方法。
  14. 前記第1の絶縁体と前記第2の絶縁体との間の垂直間隔を調節する段階をさらに備える請求項12に記載の方法。
  15. 前記絶縁変更器に対して前記処理対象物を回転させる段階をさらに備える請求項10に記載の方法。
  16. 前記第1の絶縁体と前記処理対象物との間の垂直間隔を調節する段階をさらに備える請求項12に記載の方法。
  17. 前記変更する段階は、前記間隙を前記第1の絶縁体と前記第2の絶縁体との間に生成する段階を有し、
    前記角度分布が、前記第1の絶縁体と前記処理対象物との間の垂直間隔と、前記第1の絶縁体と前記第2の絶縁体との間の垂直間隔と、前記第1の絶縁体と前記第2の絶縁体との間の水平間隔とにより決定される請求項12に記載の方法。
  18. 前記中央角度は、前記第1の絶縁体と前記第2の絶縁体との間の垂直間隔と、前記第1の絶縁体と前記第2の絶縁体との間の水平間隔とにより決定される請求項17に記載の方法。
  19. 前記中央角度は前記間隙角度により決定される請求項17に記載の方法。
JP2012503742A 2009-04-03 2010-04-02 プラズマ処理装置および処理対象物を処理する方法 Active JP5704577B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/418,120 US8623171B2 (en) 2009-04-03 2009-04-03 Plasma processing apparatus
US12/418,120 2009-04-03
US12/644,103 2009-12-22
US12/644,103 US8101510B2 (en) 2009-04-03 2009-12-22 Plasma processing apparatus
PCT/US2010/029793 WO2010115110A2 (en) 2009-04-03 2010-04-02 Plasma processing apparatus

Publications (3)

Publication Number Publication Date
JP2012523122A true JP2012523122A (ja) 2012-09-27
JP2012523122A5 JP2012523122A5 (ja) 2014-08-07
JP5704577B2 JP5704577B2 (ja) 2015-04-22

Family

ID=42826536

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012503742A Active JP5704577B2 (ja) 2009-04-03 2010-04-02 プラズマ処理装置および処理対象物を処理する方法

Country Status (6)

Country Link
US (2) US8101510B2 (ja)
JP (1) JP5704577B2 (ja)
KR (2) KR101811790B1 (ja)
CN (1) CN102428762B (ja)
TW (1) TWI463034B (ja)
WO (1) WO2010115110A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013546165A (ja) * 2010-10-01 2013-12-26 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レジストフィーチャの表面粗度を低減する方法、パターニングレジストフィーチャの粗度を修正する方法、および、レジストフィーチャを処理するシステム

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8461030B2 (en) 2009-11-17 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for controllably implanting workpieces
US8187979B2 (en) 2009-12-23 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Workpiece patterning with plasma sheath modulation
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US20120213941A1 (en) * 2011-02-22 2012-08-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted plasma treatment of a three-dimensional structure
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US8288741B1 (en) * 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US8974683B2 (en) * 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
US8461554B1 (en) * 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US9136096B2 (en) * 2012-07-27 2015-09-15 Varian Semiconductor Equipment Associates, Inc. Three dimensional metal deposition technique
US8728951B2 (en) * 2012-07-31 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Method and system for ion-assisted processing
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9728623B2 (en) 2013-06-19 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Replacement metal gate transistor
US9153444B2 (en) 2013-06-19 2015-10-06 Varian Semiconductor Equipment Associates, Inc. Process flow for replacement metal gate transistors
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9530674B2 (en) 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
US9515166B2 (en) 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9336998B2 (en) 2014-05-09 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for dynamic control of ion beam energy and angle
US9508831B2 (en) 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9520267B2 (en) * 2014-06-20 2016-12-13 Applied Mateirals, Inc. Bias voltage frequency controlled angular ion distribution in plasma processing
US9589769B2 (en) * 2014-07-09 2017-03-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for efficient materials use during substrate processing
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9478399B2 (en) 2015-03-27 2016-10-25 Varian Semiconductor Equipment Associates, Inc. Multi-aperture extraction system for angled ion beam
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9653310B1 (en) 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10229832B2 (en) 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102137886B1 (ko) * 2018-10-26 2020-07-24 인제대학교 산학협력단 h-BN 성장용 LPCVD 시스템
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US20200321186A1 (en) * 2019-04-02 2020-10-08 Applied Materials, Inc. Method and apparatus for angled etching
US11056319B2 (en) * 2019-07-29 2021-07-06 Applied Materials, Inc. Apparatus and system having extraction assembly for wide angle ion beam
US11791126B2 (en) 2019-08-27 2023-10-17 Applied Materials, Inc. Apparatus for directional processing
US11495430B2 (en) 2020-07-15 2022-11-08 Applied Materials, Inc. Tunable extraction assembly for wide angle ion beam
US11978640B2 (en) 2021-04-09 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000033006A (ko) * 1998-11-19 2000-06-15 하대규 파라데이 상자의 윗면의 격자면을 변화시켜 플라즈마 식각을하는 방법
JP2003133252A (ja) * 2001-10-26 2003-05-09 Semiconductor Energy Lab Co Ltd ビームの集束方法およびドーピング装置、並びに半導体装置の作製方法
US20050051517A1 (en) * 2003-08-12 2005-03-10 Oehrlein Gottlieb S. Method and system for nanoscale plasma processing of objects
JP2005123369A (ja) * 2003-10-16 2005-05-12 Toppan Printing Co Ltd ドライエッチング方法及びそのドライエッチング装置
JP2005521229A (ja) * 2001-06-29 2005-07-14 ラム リサーチ コーポレーション プラズマの容量を設定可能であるエッチングチャンバ
JP2006278006A (ja) * 2005-03-28 2006-10-12 Japan Atomic Energy Agency イオン源引き出し領域におけるプラズマ境界面制御方法及びそのイオン源
JP2008186806A (ja) * 2007-01-30 2008-08-14 Samsung Electronics Co Ltd イオンビーム装置
JP2008539595A (ja) * 2005-04-25 2008-11-13 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 傾斜プラズマドーピング

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
JPH08138595A (ja) 1994-11-10 1996-05-31 Nissin Electric Co Ltd イオン源
JPH1116858A (ja) 1997-06-21 1999-01-22 Tokyo Electron Ltd 成膜装置のクリーニング方法及び処理方法
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6512333B2 (en) 1999-05-20 2003-01-28 Lee Chen RF-powered plasma accelerator/homogenizer
SG126681A1 (en) 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
JP4411581B2 (ja) 2003-06-13 2010-02-10 株式会社Sen イオン源装置及びそのための電子エネルギー最適化方法
JP2006054334A (ja) 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100714898B1 (ko) * 2005-01-21 2007-05-04 삼성전자주식회사 중성빔을 이용한 기판 처리장치 및 처리방법
KR100702010B1 (ko) * 2005-03-07 2007-03-30 삼성전자주식회사 반사체, 이를 채택하는 기판 처리 장치 및 이를 사용하는기판 처리 방법
US7687787B2 (en) 2005-03-15 2010-03-30 Varian Semiconductor Equipment Associates, Inc. Profile adjustment in plasma ion implanter
JP4882456B2 (ja) 2006-03-31 2012-02-22 株式会社Ihi イオン注入装置
US7498592B2 (en) 2006-06-28 2009-03-03 Wisconsin Alumni Research Foundation Non-ambipolar radio-frequency plasma electron source and systems and methods for generating electron beams
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US7867409B2 (en) 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US20090084987A1 (en) 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
EP2175469A1 (en) 2008-10-09 2010-04-14 Danmarks Tekniske Universitet (DTU) Ion beam extraction by discrete ion focusing
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000033006A (ko) * 1998-11-19 2000-06-15 하대규 파라데이 상자의 윗면의 격자면을 변화시켜 플라즈마 식각을하는 방법
JP2005521229A (ja) * 2001-06-29 2005-07-14 ラム リサーチ コーポレーション プラズマの容量を設定可能であるエッチングチャンバ
JP2003133252A (ja) * 2001-10-26 2003-05-09 Semiconductor Energy Lab Co Ltd ビームの集束方法およびドーピング装置、並びに半導体装置の作製方法
US20050051517A1 (en) * 2003-08-12 2005-03-10 Oehrlein Gottlieb S. Method and system for nanoscale plasma processing of objects
JP2005123369A (ja) * 2003-10-16 2005-05-12 Toppan Printing Co Ltd ドライエッチング方法及びそのドライエッチング装置
JP2006278006A (ja) * 2005-03-28 2006-10-12 Japan Atomic Energy Agency イオン源引き出し領域におけるプラズマ境界面制御方法及びそのイオン源
JP2008539595A (ja) * 2005-04-25 2008-11-13 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 傾斜プラズマドーピング
JP2008186806A (ja) * 2007-01-30 2008-08-14 Samsung Electronics Co Ltd イオンビーム装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013546165A (ja) * 2010-10-01 2013-12-26 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レジストフィーチャの表面粗度を低減する方法、パターニングレジストフィーチャの粗度を修正する方法、および、レジストフィーチャを処理するシステム

Also Published As

Publication number Publication date
TWI463034B (zh) 2014-12-01
CN102428762A (zh) 2012-04-25
KR20110135871A (ko) 2011-12-19
US8101510B2 (en) 2012-01-24
WO2010115110A2 (en) 2010-10-07
US20120111834A1 (en) 2012-05-10
US20100255665A1 (en) 2010-10-07
CN102428762B (zh) 2014-10-08
US8664098B2 (en) 2014-03-04
KR20170019483A (ko) 2017-02-21
WO2010115110A3 (en) 2011-01-13
JP5704577B2 (ja) 2015-04-22
TW201116646A (en) 2011-05-16
KR101707563B1 (ko) 2017-02-16
KR101811790B1 (ko) 2017-12-22

Similar Documents

Publication Publication Date Title
JP5704577B2 (ja) プラズマ処理装置および処理対象物を処理する方法
JP5594547B2 (ja) 堆積する方法、エッチングする方法、および、フィーチャの製造方法
US8623171B2 (en) Plasma processing apparatus
JP5643820B2 (ja) イオン源
US9136096B2 (en) Three dimensional metal deposition technique
KR102212621B1 (ko) 기판을 프로세싱하기 위한 시스템 및 방법
US20130287963A1 (en) Plasma Potential Modulated ION Implantation Apparatus
TWI520660B (zh) 保形沈積的方法以及在工件中蝕刻三維特徵的方法
TW201114332A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20140623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150127

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150217

R150 Certificate of patent or registration of utility model

Ref document number: 5704577

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250