KR102212621B1 - 기판을 프로세싱하기 위한 시스템 및 방법 - Google Patents

기판을 프로세싱하기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR102212621B1
KR102212621B1 KR1020167008752A KR20167008752A KR102212621B1 KR 102212621 B1 KR102212621 B1 KR 102212621B1 KR 1020167008752 A KR1020167008752 A KR 1020167008752A KR 20167008752 A KR20167008752 A KR 20167008752A KR 102212621 B1 KR102212621 B1 KR 102212621B1
Authority
KR
South Korea
Prior art keywords
substrate
extraction electrode
rotatable
ion beam
axis
Prior art date
Application number
KR1020167008752A
Other languages
English (en)
Other versions
KR20160052661A (ko
Inventor
제임스 피. 부오노도노
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20160052661A publication Critical patent/KR20160052661A/ko
Application granted granted Critical
Publication of KR102212621B1 publication Critical patent/KR102212621B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32376Scanning across large workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

기판을 프로세싱하기 위한 시스템 및 방법이 제공된다. 시스템은 그 안에 플라즈마를 생성하기 위한 플라즈마 챔버를 포함한다. 시스템은 기판을 하우징하기 위한 프로세스 챔버를 또한 포함하며, 여기에서 프로세스 챔버는 플라즈마 챔버에 인접한다. 시스템은 또한 플라즈마 챔버와 기판 사이에 배치된 회전가능 추출 전극을 포함하며, 여기에서 회전가능 추출 전극은 플라즈마로부터 이온 빔을 추출하도록 구성되고, 추출 전극 축에 대한 회전에 의해 기판의 움직임 없이 기판에 걸쳐 이온 빔을 스캐닝하도록 구성된다.

Description

기판을 프로세싱하기 위한 시스템 및 방법{SYSTEM AND METHOD FOR PROCESSING A SUBSTRATE}
본 발명은 시스템 및 방법에 관한 것으로서, 더 구체적으로는 기판을 프로세싱하기 위한 시스템 및 방법에 관한 것이다.
오늘날, 플라즈마 기판 프로세싱 시스템들은, 기판을 둘러싼 플라즈마 내에 침지되는 동안 그 안에서 기판이 고정적으로 홀딩되는 장치뿐만 아니라, 플라즈마 챔버 또는 플라즈마 소스에 인접한 프로세스 챔버 내에서 기판의 스캐닝을 이용하는 장치를 포함한다. 후자의 유형의 장치는 상대적으로 소형의 이온 또는 플라즈마 소스들의 사용을 가능하게 하며, 이는 기판의 일 부분만이 주어진 시간에 플라즈마로부터의 이온들에 노출될 필요가 있기 때문이다. 예를 들어, 일부 구성들은, 그 안에서 가늘고 긴 단면을 갖는 이온 빔을 추출하기 위하여 직사각형 추출 어셈블리가 사용되는 플라즈마 챔버들을 이용한다.
전체 기판을 플라즈마 소스로부터의 이온들에 노출시키기 위하여, 기판은 주어진 방향을 따라 추출 어셈블리에 인접하여 스캐닝된다. 임의의 경우에 있어, 추출 어셈블리의 크기 및 형상에 의해 규정(define)된 기판의 일 부분이 가늘고 긴 단면을 갖는 이온 빔에 노출되며, 이는 프로세싱되는 기판만큼 넓거나, 이보다 더 넓거나 또는 이보다 더 협소할 수 있다. 이러한 접근방식의 이점은, 노출되는 부분이 단지 기판이 스캐닝되는 방향을 따라 수 센티미터 또는 심지어 수 밀리미터만큼 협소할 필요가 있다는 점이다. 이러한 방식으로, 적어도 하나의 방향을 따라 노출되는 기판보다 더 작은 치수들을 갖는 플라즈마 (이온) 소스가 이용될 수가 있으며, 이는 기판 크기와 매칭되는 또는 이를 초과하는 플라즈마 소스를 이용할 필요 없이 큰 기판들이 처리될 수 있게 한다.
그러나, 이러한 플라즈마 시스템들에 있어, 프로세스 챔버는 기판 스캐닝을 수용하기 위하여 플라스마 소스 챔버보다 상당히 더 클 수 있다. 이는, 플라즈마 챔버 하우징 컴포넌트들, 스캐닝 드라이버들, 펌프들, 및 다른 컴포넌트들을 포함하는 플라즈마 프로세싱 시스템의 다양한 컴포넌트들에 부담을 지운다. 기판들이 더 큰 크기들로 스케일링(scale)됨에 따라, 이러한 컴포넌트들 중 일부 또는 그 전부의 수반되는 스케일링이 고려된다. 이는, 툴 사용자들뿐만 아니라, 반도체 제조사들, 솔라 셀 제조사들, 및 이러한 시스템을 이용할 수 있는 다른 디바이스 제조사들과 같은 플라즈마 프로세싱 장비 제조사들에게 추가적인 부담을 지운다.
본 요약은 아래의 상세한 설명에서 추가로 설명되는 개념들의 선택을 간략화된 형태로 소개하기 위해 제공된다. 본 요약은 청구되는 내용의 핵심 특징들 또는 본질적인 특징들을 식별하도록 의도되지 않으며, 청구되는 내용의 범위를 결정하는데 도움을 주는 것으로서 의도되지도 않는다.
본 개시의 실시예들은 플라즈마 또는 다른 소형 이온 소스들을 사용하는 이온들의 프로세싱을 위한 시스템들 및 방법들과 연관된다. 일 실시예에 있어, 기판을 프로세싱하기 위한 시스템은 그 안에 플라즈마를 생성하기 위한 플라즈마 챔버를 포함한다. 시스템은 기판을 하우징하기 위한 프로세스 챔버를 더 포함하며, 여기에서 프로세스 챔버는 플라즈마 챔버에 인접한다. 시스템은 또한 플라즈마 챔버와 기판 사이에 배치된 회전가능 추출 전극을 포함하며, 여기에서 회전가능 추출 전극은 플라즈마로부터 이온 빔을 추출하도록 구성되고, 추출 전극 축에 대한 회전에 의해 기판의 움직임 없이 기판에 걸쳐 이온 빔을 스캐닝하도록 더 구성된다.
다른 실시예에 있어, 기판을 프로세싱하는 방법은, 플라즈마 챔버 내에 플라즈마를 생성하는 단계, 기판 표면이 플라즈마 챔버를 향하도록 기판을 기판 홀더(holder) 상에 위치시키는 단계, 및 회전가능 추출 전극을 회전시키면서 회전가능 추출 전극을 통해 플라즈마 챔버로부터 이온 빔을 추출함으로써 기판에 걸쳐 이온 빔을 스캐닝하는 단계를 포함한다.
도 1은 본 실시예들에 따른 예시적인 프로세싱 시스템의 개략적인 도면이다.
도 2a는 본 실시예들에 따른 다른 예시적인 프로세싱 시스템의 제 1 구성의 개략적인 도면이다.
도 2b는 도 2a의 프로세싱 시스템의 제 2 구성의 개략적인 도면이다.
도 3은 도 2a의 프로세싱 시스템의 동작의 일 예를 도시한다.
도 4는 도 2a의 프로세싱 시스템의 동작의 다른 예를 도시한다.
도 5a 및 도 5b는 다양한 실시예들에 따른 회전가능 추출 개구를 사용하는 기판에 걸친 스캐닝의 기하구조의 세부사항들을 나타낸다.
도 5c는 예시적인 추출 플레이트를 도시한다.
도 6은 본 실시예들에 따른 다른 예시적인 프로세싱 시스템의 개략적인 도면이다.
도 7a는 도 6의 프로세싱 시스템의 동작의 일 예를 도시한다.
도 7b는 도 6의 프로세싱 시스템의 동작의 다른 예를 도시한다.
도 8a는 본 실시예들의 회전가능 추출 전극을 사용하는 제 1 경우에서의 이온 빔 기하구조의 세부사항들을 도시한다.
도 8b는 도 8a의 회전가능 추출 전극을 사용하는 제 2 경우에서의 이온 빔 기하구조의 세부사항들을 도시한다.
도 9a 및 도 9b는 추가적인 실시예들에 따른 회전가능 추출 개구를 사용하는 기판에 걸친 스캐닝의 기하구조의 세부사항들을 나타낸다.
도 10은 다양한 실시예들에 따른 회전가능 추출 개구를 사용하는 펄스화된 이온들에 노출되는 패턴화된 기판의 기하구조의 세부사항들을 나타낸다.
이제 이하에서 본 실시예들이, 다양한 실시예들이 도시된 첨부된 도면들을 참조하여 더 완전하게 설명될 것이다. 그러나, 본 개시의 내용의 범위가 다수의 상이한 형태들로 구현될 수 있으며, 본원에서 기술되는 실시예들에 한정되는 것으로 해석되지 않아야 한다. 오히려, 이러한 실시예들은 본 개시가 완전하고 철저해질 수 있도록 제공되며, 본원의 범위를 당업자들에게 완전하게 전달할 것이다. 도면들에서, 유사한 도면번호들이 전체에 걸쳐 유사한 엘러먼트를 지칭한다.
본 실시예들은 이온들을 사용하여 기판을 프로세싱하기 위한 신규한 시스템들 및 장치를 제공한다. 다양한 실시예들에 있어, 프로세싱 시스템들은 기판의 병진 이동 없이 이온 빔을 사용하여 전체 기판이 프로세싱될 수 있는 소형 프로세스 챔버들을 포함한다. 이는 큰 기판의 선형 병진이동을 수용하기 위한 선형 기판 드라이브 메커니즘들 및 더 큰 프로세스 챔버들에 대한 필요성을 제거한다.
도 1은 이온들을 사용하여 기판을 프로세싱(처리)하기 위해 사용될 수 있는 예시적인 프로세싱 시스템(100)을 도시한다. 프로세싱 시스템(100)은 기판 스테이지(102)를 하우징하는 프로세스 챔버(101)를 포함한다. 기판 스테이지(102)는 기판(124)을 지지하는 기판 플래튼(platen)(103)을 포함한다. 챔버 벽(105)에 인접하여, 이온들을 생성하도록 구성된 플라즈마 챔버(이온 소스 챔버)(104)가 배치된다. 가스 소스(미도시)는 파워가 플라즈마 챔버(104)에 인가될 때 플라즈마를 형성하기 위하여 플라즈마 챔버(104)로 가스 종을 제공할 수 있다. 다양한 실시예들에 있어, 플라즈마 챔버(104)에 대한 플라즈마 소스(전원)는, 인 시튜(in situ) 또는 원격의, 유도 결합형 플라즈마 소스, 용량 결합형 플라즈마 소스, 헬리콘 소스, 마이크로파 소스, 또는 임의의 다른 유형의 플라즈마 소스일 수 있다. 도시된 직교 좌표계의 X-Y 평면 내에서, 플라즈마 챔버(104)는 정사각형, 직사각형, 원형, 또는 다른 형상을 가질 수 있다. 일부 실시예들에 있어, 전원(108)은 유도 또는 용량 결합에 의해 플라즈마를 생성하는 라디오 주파수(radio frequency; RF) 생성기일 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다. 이에 더하여, 프로세싱 시스템(100)은 그 동작이 이하에서 상세하게 설명되는 바이어스 소스(110)를 포함한다.
이하에서 설명되는 프로세싱 시스템(100) 및 그들의 다른 실시예들은, 기판 내로의 이온 주입, 기판의 패턴화된 특징부들의 에칭을 포함하는 기판의 이온 에칭; 기판 상으로의 이온들의 증착, 및 다른 프로세스들을 포함하는 다양한 유형들의 기판의 이온 프로세싱을 수행하기 위해 이용될 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다.
도 1에 추가로 도시된 바와 같이, 프로세싱 시스템(100)은 플라즈마 챔버(104)와 기판(124) 사이에 배치된 회전가능 추출 전극(120)을 포함한다. 회전가능 추출 전극(120)은 도시된 직교 좌표계에서 X-방향을 따라 연장하는 추출 전극 축 R에 대하여 회전하도록 구성된다. 회전가능 추출 전극(120)은 X-방향에서 가늘고 길 수 있는 개구(121)를 포함한다. 이러한 실시예뿐만 아니라 다음의 도면들에 개시된 다른 실시예들에 있어, 추출 전극 축 R은 챔버 벽(105)의 챔버 벽 표면(107)에 평행할 수 있다.
다음의 도면들과 관련하여 상세하게 설명되는 바와 같이, 회전가능 추출 전극(120)은 개구(121)를 통해 기판(124)으로 이온 빔을 보내기 위하여 플라즈마 챔버(104)로부터 이온들을 추출할 수 있다. 회전가능 추출 전극이 회전을 겪음에 따라, 이러한 이온 빔이 Y-방향을 따른 병진 이동과 같은 기판의 움직임 없이 기판(124)에 걸쳐 스캐닝될 수 있다. 다양한 실시예들에 있어, 회전가능 추출 전극은 원통형 형상과 같이 곡선(curved) 구조를 가질 수 있으며, 예를 들어, 도 5a에 예시된 바와 같이 X-방향을 따라 가늘고 길 수 있다.
도 1의 실시예에 있어, 회전가능 추출 전극(120)은 프로세스 챔버(101) 내에 적어도 부분적으로 배치된다. 이러한 배열에 있어, 개구부(122)가 플라즈마 챔버(104) 내에 제공되며, 그 결과 가스 종들이 플라즈마 챔버와 회전가능 추출 전극 사이에서 연통된다. 이는 이하에서 논의되는 바와 같이 회전가능 추출 전극에 의해 규정된 캐비티(cavity) 영역 내의 플라즈마의 형성을 가능하게 할 수 있다.
이제 도 2a를 참조하면, 회전가능 추출 전극(120)을 갖는 다른 프로세싱 시스템(150)의 일 실시예가 도시된다. 이러한 실시예에 있어, 프로세스 챔버(106)에는, 기판(124)을 도 2a에 도시된 로딩(load) 위치로부터 도 2b에 도시된 프로세싱 위치로 움직이도록 구성된 회전가능 기판 스테이지(112)가 구비된다. 도시된 실시예에 있어, 회전가능 기판 스테이지는, 기판(118), 및 회전 부재(114)에 연결된 방사상(radial) 부재 또는 방사상 부분(116)을 포함한다. 회전 부재(114)는, 추출 전극 축 R에 평행할 수 있는 축 P1에 대하여 회전하도록 구성된다. 도 2b에 예시된 바와 같이, 기판(124)의 프로세싱 위치는 로딩 위치에 대하여 90도의 회전을 이룬다. 그러나, 기판 로딩 위치와 프로세싱 위치 사이의 180도 또는 270도 회전을 포함하는 다른 구성들이 가능하다. 이에 더하여, 로딩 및 프로세싱 위치 사이에서 기판 플래튼을 시프트(shift)하기 위한 병진 운동 또는 회전 및 병진 운동의 조합만을 수반하는 이동가능 기판 스테이지의 구성들이 가능하다. 실시예들이 이러한 맥락으로 제한되지 않는다.
더욱이, 다른 구성들에 있어, 플라즈마 챔버는 프로세싱 위치의 기판이 위쪽을 향하도록 프로세스 챔버의 상단 상에 위치될 수 있거나, 또는 플라즈마 챔버는 프로세싱 위치의 기판이 아래쪽을 향하도록 프로세스 챔버 아래에 위치될 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다. 도 2a 및 도 2b에 도시된 프로세싱 위치에서, 기판(124)은 다양한 방식들로 기판 플래튼(118)에 홀딩될 수 있다. 예를 들어, 정전 척, 진공 척, 또는 기계적 클램핑 컴포넌트가 기판 플래튼(118)을 홀딩하기 위해 사용될 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다.
도 2a 및 도 2b의 실시예의 특징은, 기판(124)의 임의의 병진 운동을 수행하지 않고 전체 기판(124)이 플라즈마 챔버(104)로부터 추출되는 이온들에 노출될 수 있다는 것이다. 다시 말해서, 이온들에 대한 노출 동안, 기판(124)이 고정된 채로 남아 있을 수 있거나, 또는 그 축에 대하여 단지 회전하거나 또는 틸팅(tilt)할 수 있다. 이는, 프로세스 챔버(106)의 크기를, 전체 기판을 이온들에 노출시키기 위하여 개구에 대하여 기판(124)을 스캐닝할 수 있는 시스템들에서의 치수들보다 더 작은 치수들로 유지되는 것을 가능하게 한다. 예를 들어, 일부 실시예들에 있어, Y-방향을 따른 프로세스 챔버(106)의 치수는 Y-방향을 따른 기판 치수의 2배보다 더 작다.
이제 도 3 및 도 4를 참조하면, 프로세싱 시스템(150)을 사용하는 기판(124)의 프로세싱의 일 예가 도시된다. 이러한 예에 있어, 전원(108)이 플라즈마 챔버(104) 내에 플라즈마(302)를 생성하였다. 플라즈마는 회전가능 추출 전극(120)에 의해 규정된 캐비티 영역(304) 내로 연장할 수 있으며, 캐비티 영역은 플라즈마 챔버(104)를 향한 오목한 표면을 제공한다. 일부 실시예들에 있어, 회전가능 추출 전극(120)은 실리콘 탄화물, 흑연, 또는 실리콘 산화물로부터 구성될 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다.
이온들을 플라즈마(302)로부터 기판(124)으로 보내기 위하여, 바이어스가 기판 플래튼(118)과 플라즈마 챔버(104) 사이에 인가될 수 있다. 다양한 실시예들에 있어, 주어진 프로세스에 적합한 희망되는 전압을 갖는 연속적인 또는 펄스화된 바이어스가 기판 플래튼(118)과 플라즈마 챔버(104) 사이에 인가될 수 있다. 도 3에 도시된 예에 있어, 기판 플래튼(118)이 접지되는 동안 바이어스 소스(110)가 플라즈마 챔버(104)에 바이어스 신호를 인가할 수 있다. 그러나, 다른 실시예들에 있어, 바이어스가 기판 플래튼(118)에 인가될 수 있으며, 그 동안 플라즈마 챔버(104)가 접지된다. 바이어스가 기판 플래튼(118)과 플라즈마 챔버(104) 사이에 인가될 때, 플라즈마(302)로부터의 이온들이 개구(121)를 통해 플라즈마(302)로부터 추출될 수 있고 기판(124)으로 가속될 수 있다(도 2b 참조). 도 3에 예시된 바와 같이, 이온들은 개구(121)의 위치에 의해 결정된 기판의 일 부분에서 기판(124) 상에 충돌하는 이온 빔(306)으로서 보내진다. 결과적으로, 이러한 위치는 회전가능 추출 전극(120) 내의 개구의 회전 위치에 의해 결정된다. 도 3에 도시된 경우에 있어, 개구(121)는 "10시" 위치에 위치되며, 이온 빔(306)은 기판(124)의 중심의 위쪽 영역(308)에서 기판(124)과 충돌한다. 도 4에 도시된 경우에 있어, 개구(121)의 위치는 도 3에 도시된 위치에 대하여 "8시" 위치로 반시계방향으로 회전된다. 따라서, 이온 빔(306)은 기판(124)의 중심의 아래쪽의 영역(310)에서 기판(124)과 충돌한다. 따라서, 예를 들어, 개구(121)의 약 "11시"와 "7시" 위치들 사이에서 연속적인 회전이 회전가능 추출 전극(120)에 적용될 때, 이온 빔(306)이 전체 기판(124)에 걸쳐 연속적으로 스캐닝될 수 있다. 다양한 추가적인 실시예들에 있어, 회전가능 추출 전극(120)은, 이온 빔이 기판(124)에 걸쳐 왔다갔다하면서 스캐닝될 수 있도록 진동(oscillate)할 수 있다.
도 5a 및 도 5b는 도 3 및 도 4에 도시된 예를 사용하는 기판에 걸친 이온 빔의 스캐닝의 기하구조의 추가적인 세부사항들을 제공한다. 도 5a는 플라즈마 챔버(104)의 관점으로부터의 기판(124) 및 회전가능 추출 전극(120)의 도면을 나타낸다. 이러한 실시예에 있어, 회전가능 추출 전극(120)은, X-방향을 따라 가늘고 긴 개구(502)를 제공하는 가늘고 긴 원통이다. 예시된 바와 같이, 개구 폭 WA가 기판 폭 또는 직경보다 더 큰다. 이는, 도 5a에 도시된 바와 같이 위치될 때 이온 빔이 X-방향을 따라 기판(124)을 완전히 커버하도록 회전가능 추출 전극(120)을 통해 추출되는 것을 가능하게 한다. 일부 실시예들에 있어, WA는 300 mm 또는 그 이상에 이르는 범위일 수 있다. Y-방향을 따른 개구 높이는 일부 실시예들에서 2 밀리미터 내지 5 센티미터의 범위일 수 있다.
x-축 또는 y-축을 따라 서로 이격된 복수의 개구들이 또한 사용될 수 있다. 도 5c는 Y-방향을 따라 이격된 2개의 가늘고 긴 개구들(512)을 갖는 예시적인 추출 플레이트(510) 및, X-방향을 따라 이격된 3개의 개구들(522)를 갖는 추출 플레이트(520)를 도시한다.
이제 도 5b를 참조하면, 도 5a에서 구성된 바와 같은 회전가능 추출 전극(120)을 사용하여 기판(124)에 걸쳐 이온 빔을 스캐닝함으로써 기판(124)을 프로세싱한 결과가 도시된다. 주입 패턴(504)은, (도 2b의 "9시" 위치에 대응하는) 0도가 도 5a에 예시된 위치를 나타내는, 회전가능 추출 전극(120)이 +θ와 -θ 사이의 도시된 각도 위치들 사이에서 회전된 후, 회전가능 추출 전극(120)에 의해 제공되는 X-Y 평면에 투사된 이온 도우즈(dose)를 나타낸다. 영역들(308 및 310)의 위치가 또한 참조를 위해 도시된다. 예시된 바와 같이, 전체 기판(124)은 회전가능 추출 전극(120)의 회전에 의해 이온들에 노출된다.
다시 도 3 및 도 4를 참조하면, 프로세싱 시스템(150)의 실시예에 있어, 기판(124)이 프로세싱 위치에 있을 때, 이온 빔(306)에 대해 노출되는 기판 표면(312)이 도시된 직교 좌표계의 X-Y 평면에 평행하게 놓이는 기판 평면을 규정한다. 따라서, 회전가능 추출 전극(120)이 기판(124)에 걸쳐 이온 빔을 스캐닝하기 위하여 회전될 때, 기판(124)의 표면에 대한 이온 빔(306)의 입사 각도가 변화할 수 있다. 이온 빔의 입사 각도는, 이하에서 더 상세하게 논의되는 바와 같이 각도들의 범위에 걸쳐 이온들이 분포될 수 있는 이온 빔(306) 내의 모든 이온 궤적들에 대한 (기판(124)에 대한) 평균 입사 각도로서 규정될 수 있다. 이러한 평균 입사 각도는, 에지들을 향해 놓인 기판(124)의 부분들이 그 입사 각도가 기판(124)의 중앙에 인접한 이러한 부분들에 대하여 몇 도 또는 그 이상만큼 변화하는 이온들에 노출되게 하는 방식으로, 변화할 수 있다. 따라서, 프로세싱 시스템(150)은, 프로세싱되는 기판 또는 기판 층이 이온들의 입사 각도 또는 방향에 대해 민감하지 않은 애플리케이션들에 대해 또는 기판에 걸친 이온들의 평균 입사 각도의 변동들이 용인될 수 있는 이온 프로세싱의 애플리케이션들에서 이온 빔들로 기판들을 프로세싱하기 위하여 최상으로 이용될 수 있다.
그러나, 다른 실시예들에 있어, 기판 틸팅(회전) 운동의 성능을 갖는 기판 스테이지가 제공된다. 이는, 기판 표면의 각도가 회전가능 추출 전극이 회전할 때 이온 빔의 일정한 입사 각도를 유지할 수 있는 방식으로 변화하는 것을 허용한다. 도 6은, 기판 플래튼(610)에 틸팅 성능이 구비된 기판 스테이지(604)를 포함하는 프로세싱 시스템(602)의 일 실시예를 도시한다. 회전가능 기판 스테이지(112)와 마찬가지로, 기판 스테이지(604)는 축 P1에 대하여 회전가능하다. 구체적으로, 회전 부재(114)의 회전이 축 P1에 대한 방사상 부재(606)의 회전을 생성한다. 예를 들어, 기판 플래튼(610)에 부착된 방사상 부재(606)의 90도 회전은 기판 플래튼(610)을 로딩 위치(도시되지 않았지만, 도 2a를 참조)로부터 도 6에 도시된 프로세싱 위치로 이송할 수 있다.
도 6에 추가로 예시된 바와 같이, 기판 플래튼(610)에 부착된 피봇(pivot)(608)이 제공된다. 피봇(608)은 추출 전극 축 R과 평행한 피봇 축 P2에 대하여 기판 플래튼(610)의 회전 또는 틸팅을 가능하게 한다. 이러한 방식으로, 기판 플래튼(610)은 회전가능 추출 전극(120)의 회전과 연합하여 회전할 수 있다. 도 6의 실시예에 있어, 회전 동기화기(synchronizer)(612)가 기판 플래튼(610)과 회전가능 추출 전극(120)의 회전을 동기화시키기 위한 신호들을 생성하기 위해 제공된다. 구체적으로, 동기화 신호들은 회전가능 추출 전극(120) 및 기판 플래튼(610)의 회전을 제어하는 별개의 드라이버들 또는 제어기들(미도시)로 전송될 수 있다. 이는 제어되는 방식으로 기판 플래튼(610)의 회전에 결합된 회전가능 추출 전극(120)의 회전을 생성하도록 역할 한다. 회전가능 추출 전극(120)과 기판 플래튼(610)의 결합된 회전은, 개구(121)로부터 추출되는 (화살표에 의해 표시되는) 이온들에 의해 형성된 기판 표면(312)에 대한 각도 α가 결합된 회전 동안 일정하게 남아 있을 수 있도록 하는 것일 수 있다.
도 7a 및 도 7b는, 회전가능 추출 전극(120)과 기판 플래튼(610)의 결합된 회전을 사용하여 기판에 걸쳐 이온 빔을 스캐닝하기 위한 하나의 시나리오를 함께 도시한다. 구체적으로, 도 7a는 프로세싱 시스템(602)의 동작의 일 예를 도시한다. 플라즈마(702)가 플라즈마 챔버(104) 내에서 생성되며, 바이어스가 바이어스 소스(110)에 의해 플라즈마 챔버(104)에 인가된다. 이는 이온 빔(704)이 회전가능 추출 전극(120)으로부터 추출되고 기판(124)으로 전달되게끔 한다. 전체 기판(124)에 걸쳐 이온 빔(704)을 스캐닝하기 위하여, 회전가능 추출 전극은, 이온들이 기판(124)의 제 1 단부로 보내지는 극단 시계방향 위치(예를 들어, 개구(121)에 대하여 ~11:30분)로부터 이온들이 기판(124)의 제 2 단부로 보내지는 극단 반시계방향 위치(예를 들어, 개구(121)에 대하여 ~6:30분)로 회전될 수 있다. 도 7a에 도시된 경우에 있어, 회전가능 추출 전극(120)의 개구(121)는 도면에서 좌측으로 그리고 위쪽으로 이온 빔(704)을 보내는 제 1 회전 위치 또는 각도에 위치된다. 동시에, 기판 플래튼(610)은, 기판 표면(312)이 도면에서 우측으로 그리고 아래쪽으로 향하는 제 1 기판 틸트(tilt) 또는 각도로 배향된다. 도시된 예에 있어, 이온 빔(704)은 기판(124)의 기판 표면(312)에 대하여 90도의 입사 각도를 형성할 수 있다.
도 7b는 프로세싱 시스템(602)의 동작의 제 2 예를 도시한다. 도 7a의 시나리오에 있어 이온 빔(704)을 추출하기 위해 사용되며 플라즈마 챔버(104) 내에서 생성되는 플라즈마(702)가 계속해서 점화되며, 그 결과 이온 빔(704)이 계속해서 회전가능 추출 전극(120)으로부터 추출되고 기판(124)으로 전달된다. 도 7b에 도시된 경우에 있어, 회전가능 추출 전극(120)의 개구(121)는 도면에서 좌측으로 그리고 아래쪽으로 이온 빔(704)을 보내는 제 2 회전 위치 또는 각도에 위치된다. 동시에, 기판 플래튼(610)은, 기판 표면(312)이 도면에서 우측으로 그리고 위쪽으로 향하는 제 2 기판 틸트 또는 각도로 배향된다. 도시된 예에 있어, 이온 빔(704)은 또한 기판(124)의 기판 표면(312)에 대하여 90도의 입사 각도를 형성할 수 있다. 따라서, 이온 빔(704)이 기판(124)의 병진 이동 없이 기판 표면(312)에 대하여 일정한 각도로 기판(124)에 걸쳐 스캐닝될 수 있다. 다양한 추가적인 실시예들에 있어, 기판 플래튼(610) 및 회전가능 추출 전극(120)의 결합된 회전은, 이온 빔이 기판(124)에 걸쳐 왔다갔다하면서 스캐닝될 수 있도록 진동을 구성할 수 있다.
다양한 추가적인 실시예들에 있어, 회전가능 추출 전극이 캠(cam)(미도시)에 결합될 수 있다. 일부 실시예들에 있어, 캠은, 회전 동안 추출 개구와 프로세싱되는 기판 사이에 일정한 최근접 거리를 유지하도록 회전가능 추출 전극의 추출 개구에 움직임을 부여하도록 설계될 수 있다. 이는, 예를 들어, 도 7a 및 도 7b에 예시된 바와 같은 회전가능 기판 플래튼을 갖는 시스템들에서 사용될 수 있다. 이러한 방식으로, 이온 빔이 기판에 대하여 일정한 입사 각도로 그리고 추출 개구와 기판 사이의 일정한 거리에서 기판에 걸쳐 스캐닝될 수 있다.
기판의 이온 프로세싱의 다양한 애플리케이션들에 있어, 각도들의 범위에 걸쳐 기판에 이온들을 제공하는 것이 유용할 수 있거나 또는 바람직할 수 있다. 예를 들어, 이는 주어진 방향에 대하여 상이한 각도들에서 표면들을 제공하는 패턴화된 특징부들을 처리하는데 유용할 수 있다. 도 2a 내지 도 7b에 도시된 실시예들의 변형들에 있어, 이온들이 각도들의 범위에 걸쳐 회전가능 추출 전극으로부터 추출될 수 있다는 것이 주목되어야 한다. 실험 조건들의 주어진 세트에 대하여, 각도들의 범위가 고정될 수 있으며, 일부 경우들에 있어 20도, 30도, 60도, 90도 또는 120도의 범위에 걸칠 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다. 따라서, 도 3 및 도 4의 예에 있어서, 이온 빔(306)이 기판(124)에 걸쳐 스캐닝될 때 각도들의 범위에 걸쳐 기판(124) 상에 충돌할 수 있지만, 이온들의 평균 각도는 기판(124)에 대한 수선(125)에 대하여 변화할 수 있다. 특히, 이온 빔(306)의 중심에서의 이온 궤적에 의해 형성된 각도를 나타낼 수 있는 이온 빔(306)의 평균 각도는 도 3의 수선(125)에 대하여 양의 각도로부터 도 4의 음의 각도로 변화할 수 있다.
다양한 추가적인 실시예들에 따르면, 회전가능 추출 전극과 기판 플래튼의 결합된 회전은, 기판 표면에 대한 각도들의 범위가 일정한 이온 빔으로 기판을 스캐닝하기 위한 장치를 제공한다. 도 8a 및 도 8b는 회전가능 추출 전극(120)을 사용하는 기판(124)을 프로세싱하기 위한 하나의 시나리오를 도시한다. 도 8a에 도시된 바와 같이, 프로세싱 시스템 내의 실험적인 조건들은, 플라즈마(802)가 도시된 바와 같은 오목한 곡률을 갖는 쉬스 경계 부분(804)을 갖도록 생성될 수 있다. 이는 일부 경우들에서 120도에 이르는 각도 범위에 걸칠 수 있는 각도들에서 기판 표면(312) 상에 충돌하는 각도들의 범위에 걸친 이온들(806)의 추출을 야기하지만, 각도 범위는 몇몇 예들을 들면 90도, 60도, 또는 40도일 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다. 일 예에 있어, 이러한 범위는 기판 표면(312)에 대한 수직선(810)에 대하여 -60도 내지 +60도 사이의 각도 범위를 커버할 수 있다.
도 8b에 도시된 예에 있어, 회전가능 추출 전극 및 기판 플래튼(118)은 도 8a의 시나리오에 대하여 결합된 회전을 겪었다. 이러한 방식으로, 이온들(806)은, 도 8a에 도시된 바와 같은 기판 표면(312)에 대한 수직선(810)에 대하여 -60도 내지 +60도 사이와 같은 각도들의 동일한 범위에 걸쳐 기판 표면 상에 충돌한다. 이러한 방식으로, 기판(124)의 각각의 부분이 입사 각도들의 동일한 분포를 갖는 이온들의 집합(collection)에 의해 일관되게 프로세싱된다.
추가적인 실시예들에 있어, 회전가능 추출 전극은 이상에서 언급된 바와 같은 복수의 개구들을 포함할 수 있다. 도 9a는 라인을 따라 배열된 3개의 세그먼트화된 개구들(904)을 갖는 회전가능 추출 전극(902)의 일 예를 나타낸다. 도 9b는, 이온들이 세그먼트화된 개구들(904)를 통해 추출되는 동안 회전가능 추출 전극(902)이 -θ 내지 +θ의 각도 범위를 통해 회전된 이후의 기판(124)의 이온 주입 프로세싱의 일 예를 나타낸다. 예시된 바와 같이, 주입된 영역들(908)은, 주입되지 않은 영역들(910)이 주입된 영역들(908)을 분리하는 기판(124) 상의 주입의 스트라이프 패턴을 생성한다.
추가적인 실시예들에 있어, 스캐닝은 이온들이 펄스들로 기판으로 보내지는 동안 회전가능 추출 전극을 사용하여 수행된다. 이온들의 펄싱은, 이온들에 의해 충돌되는 영역들 사이에 산재된 이온들에 의해 처리되지 않는 기판 내의 영역들을 생성하도록 설정될 수 있다. 펄스의 "온" 부분들 동안 이온 빔이 추출되고 기판 상에 충돌하며, 펄스들의 "오프" 부분들 동안 이온 빔이 기판 상에 충돌하지 않는다. 펄싱은, 예를 들어, 플라즈마 챔버 내의 플라즈마를 펄싱 온 및 오프함으로써 수행될 수 있다. 대안적으로, 펄싱은, 펄스의 "온" 부분들 동안 이온들이 인가되는 바이어스에 의해 규정된 이온 에너지를 가지고 기판으로 가속되며, 펄스의 "오프" 부분들 동안 어떠한 바이어스도 기판과 플라즈마 챔버 사이에 인가되지 않는 바이어스를 일련의 펄스들로서 기판과 플라즈마 챔버 사이에 인가함으로써 수행될 수 있다. 도 1로 돌아가면, 상이한 실시예들에 있어, 바이어스 소스(110) 및/또는 전원(108) 중 하나가 펄스형 소스들로서 구성될 수 있다. 그 장축이 스캔 방향에 수직으로 배향된 가늘고 긴 개구를 사용하면(도 5a 참조), 이온들의 이러한 펄싱은, 예를 들어, 솔라 셀과 같은 기판의 표면 상의 선택 부분들에 주입된 도펀트들의 라인들 또는 스트라이프들을 생성할 수 있다. 회전 추출 전극의 회전 속도와 결합된 펄스 지속기간은 프로세싱된(주입된) 영역의 희망되는 폭을 생성하도록 조정될 수 있다. 일부 실시예들에 있어, 펄싱은 킬로헤르쯔 또는 더 큰 주파수로 일어날 수 있다. 도 10은 회전가능 추출 전극이 도시된 각도 범위 사이에서 회전하는 동안 이온들의 펄싱에 의해 생성된 패턴화된 기판(1002)의 일 예를 나타낸다. 결과는 주입되지 않은 영역들(1006) 사이에 산재된 패턴화된 기판(1002) 상의 주입된 스트라이프들(1004)의 생성이다.
도면들에 도시된 실시예들이 프로세스 챔버 내에 추출 개구 축이 배치된 장치를 예시하지만, 다른 실시예들에서 추출 개구 축은 프로세스 챔버와 플라즈마 챔버 사이의 경계를 따라 놓일 수 있다. 또한, 추가적인 실시예들에 있어 추출 개구 축은 플라즈마 챔버 내에 놓일 수 있다.
추가적으로, 도면들이 기판 플래튼 및 기판이 (주로) 수직 배향으로 배치된 실시예들을 예시하지만, 다른 실시예들에 있어, 기판 플래튼 및 기판이 아래쪽을 향해 배향되거나 또는 위쪽을 향해 배향될 수 있다. 이러한 실시예들에 있어, 플라즈마 챔버는 프로세스 챔버(106)의 하단 또는 상단 상에 배치될 수 있다.
본 주제는 본원에서 설명된 특정 실시예에 의해 범위가 제한되지 않는다. 오히려, 본원에서 설명된 실시예들에 더하여, 본 개시의 다른 다양한 실시예들 및 이에 대한 수정예들이 이상의 설명 및 첨부된 도면들로부터 당업자들에게 자명해질 것이다.
따라서, 이러한 다른 실시예들 및 수정예들이 본 개시의 범위 내에 속하도록 의도된다. 추가로, 본 발명이 본원에서 특정 목적을 위한 특정 환경에서의 특정 구현예의 맥락에서 설명되었지만, 당업자들은 이의 유용함이 이에 한정되지 않으며, 본 개시가 임의의 수의 목적들을 위한 임의의 수의 환경들에서 유익하게 구현될 수 있다는 것을 인식할 것이다. 따라서, 본 개시의 내용은 본원에서 설명된 바와 같은 본 개시의 완전한 폭과 사상의 관점에서 해석되어야만 한다.

Claims (19)

  1. 기판을 프로세싱하기 위한 시스템으로서,
    그 안에 플라즈마를 생성하기 위한 플라즈마 챔버;
    상기 기판을 하우징하기 위한 프로세스 챔버로서, 상기 프로세스 챔버는 상기 플라즈마 챔버에 인접한, 상기 프로세스 챔버; 및
    상기 플라즈마 챔버와 기판 사이에 배치된 회전가능 추출 전극으로서, 상기 회전가능 추출 전극은 상기 플라즈마로부터 이온 빔을 추출하도록 구성되고, 추출 전극 축에 대한 회전에 의해 상기 기판의 움직임 없이 상기 기판에 걸쳐 상기 이온 빔을 스캐닝하도록 구성되는, 상기 회전가능 추출 전극을 포함하며,
    상기 회전가능 추출 전극은 회전 축에 평행한 제 1 방향을 따른 폭 및 상기 제 1 방향에 수직인 제 2 방향을 따른 높이를 갖는 가늘고 긴 추출 개구를 가지고, 상기 폭은 상기 높이보다 더 큰, 시스템.
  2. 청구항 1에 있어서,
    상기 프로세스 챔버는 상기 플라즈마 챔버에 인접한 챔버 벽 표면을 규정(define)하는 챔버 벽을 가지며, 상기 추출 전극 축은 상기 챔버 벽 표면에 평행한, 시스템.
  3. 청구항 1에 있어서,
    상기 회전가능 추출 전극은 원통 구조를 포함하는, 시스템.
  4. 청구항 1에 있어서,
    회전가능 기판 스테이지로서:
    제 1 표면 상에서 상기 기판을 지지하기 위한 기판 플래튼;
    제 2 표면 상에서 상기 플래튼에 연결된 방사상 부분; 및
    상기 방사상 부분에 연결된 회전 부재로서, 상기 회전가능 기판 스테이지는 상기 회전 부재의 회전을 통해 상기 기판을 로딩(load) 위치로부터 프로세싱 위치로 움직이도록 구성되는, 상기 회전 부재를 포함하는, 상기 회전 가능 기판 스테이지를 더 포함하는, 시스템.
  5. 청구항 1에 있어서,
    기판 플래튼, 상기 추출 전극 축에 평행한 피봇(pivot) 축을 가지며 상기 기판 플래튼에 연결된 피봇, 및 상기 피봇에 결합되며 상기 피봇 축에 대하여 상기 기판의 틸팅(tilt)을 생성하도록 구성된 드라이브를 더 포함하는, 시스템.
  6. 청구항 5에 있어서,
    상기 회전가능 추출 전극의 회전과 상기 기판의 상기 틸팅을 동기화시키기 위한 신호들을 생성하도록 구성된 회전 동기화기를 더 포함하며, 상기 회전가능 추출 전극은 상기 추출 전극 축에 대한 회전 동안 상기 기판의 표면에 대하여 상기 이온 빔의 입사 각도를 변화시키지 않고 상기 기판에 걸쳐 상기 이온 빔을 스캐닝하도록 구성되는, 시스템.
  7. 청구항 6에 있어서,
    상기 이온 빔은 상기 기판의 표면에 대하여 입사 각도들의 범위에 걸쳐 분포된 궤적들을 갖는 이온들을 포함하며, 상기 기판의 상기 표면에 대한 입사 각도들의 상기 범위는 상기 추출 전극 축에 대한 상기 회전 동안 변화하지 않는, 시스템.
  8. 청구항 5에 있어서,
    상기 회전가능 추출 전극은 상기 추출 전극 축에 평행한 긴 방향을 갖는 가늘고 긴 추출 개구를 포함하고, 상기 시스템은 상기 회전가능 추출 전극에 결합되고 상기 추출 전극 축 상에서 회전하도록 구성된 캠(cam)을 더 포함하며, 상기 추출 개구와 기판 사이의 최근접 거리는 상기 추출 전극 축에 대한 회전 동안 일정한, 시스템.
  9. 청구항 1에 있어서,
    상기 회전가능 추출 전극은 복수의 개구들을 포함하는, 시스템.
  10. 청구항 1에 있어서,
    상기 회전가능 추출 전극은 적어도 하나의 추가적인 가늘고 긴 추출 개구를 포함하는, 시스템.
  11. 청구항 10에 있어서,
    상기 회전가능 추출 전극은 상기 제 1 방향을 따라 이격된 복수의 가늘고 긴 추출 개구들을 포함하는, 시스템.
  12. 청구항 10에 있어서,
    상기 회전가능 추출 전극은 상기 제 2 방향을 따라 이격된 복수의 가늘고 긴 추출 개구들을 포함하는, 시스템.
  13. 기판을 프로세싱하는 방법으로서,
    플라즈마 챔버 내에 플라즈마를 생성하는 단계;
    기판 표면이 상기 플라즈마를 향하도록 기판을 기판 홀더(holder) 상에 위치시키는 단계; 및
    회전가능 추출 전극을 회전시키면서 상기 회전가능 추출 전극을 통해 상기 플라즈마 챔버로부터 이온 빔을 추출함으로써 상기 기판에 걸쳐 상기 이온 빔을 스캐닝하는 단계를 포함하며,
    상기 회전가능 추출 전극은 회전 축에 평행한 제 1 방향을 따른 폭 및 상기 제 1 방향에 수직인 제 2 방향을 따른 높이를 갖는 가늘고 긴 추출 개구를 가지고, 상기 폭은 상기 높이보다 더 큰, 방법.
  14. 청구항 13에 있어서,
    상기 회전가능 추출 전극은 추출 전극 축을 가지며, 상기 방법은, 상기 추출 전극 축을 상기 기판 표면에 평행하게 배열하는 단계를 더 포함하는, 방법.
  15. 삭제
  16. 청구항 14에 있어서,
    상기 기판 홀더에 연결되며 상기 추출 전극 축에 평행한 피봇 축을 갖는 피봇을 제공하는 단계; 및
    상기 스캐닝 동안 상기 피봇 축에 대하여 상기 기판의 틸팅을 생성하는 단계를 더 포함하는, 방법.
  17. 청구항 16에 있어서,
    상기 회전가능 추출 전극의 회전과 상기 기판의 상기 틸팅을 동기화시키기 위한 신호들을 생성하는 단계로서, 상기 회전가능 추출 전극은 상기 기판의 표면에 대하여 상기 이온 빔의 입사 각도를 변화시키지 않고 상기 기판에 걸쳐 상기 이온 빔을 스캐닝하는, 단계; 및
    상기 이온 빔을 상기 기판의 표면에 대하여 입사 각도들의 범위에 걸쳐 분포된 궤적들을 갖는 복수의 이온들로서 추출하는 단계로서, 상기 기판의 상기 표면에 대한 입사 각도들의 상기 범위는 상기 추출 전극 축에 대한 상기 회전 동안 변화하지 않는, 단계를 더 포함하는, 방법.
  18. 청구항 16에 있어서,
    상기 추출 전극 축 상에서 회전하도록 캠을 구성하는 단계; 및
    상기 회전가능 추출 전극을 상기 캠에 결합시키는 단계로서, 상기 추출 개구와 기판 사이의 최근접 거리는 상기 추출 전극 축에 대한 회전 동안 일정한, 단계를 더 포함하는, 방법.
  19. 청구항 18에 있어서,
    상기 이온 빔을 펄스화된(pulsed) 이온들로서 추출하는 단계로서, 펄스의 제 1 부분 동안 상기 이온 빔이 상기 기판 상에 충돌하며, 상기 펄스의 제 2 부분 동안 상기 이온 빔이 상기 기판 상에 충돌하지 않는, 단계를 더 포함하는, 방법.
KR1020167008752A 2013-09-07 2014-09-05 기판을 프로세싱하기 위한 시스템 및 방법 KR102212621B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/020,793 2013-09-07
US14/020,793 US9190248B2 (en) 2013-09-07 2013-09-07 Dynamic electrode plasma system
PCT/US2014/054207 WO2015035116A1 (en) 2013-09-07 2014-09-05 Dynamic electrode plasma system

Publications (2)

Publication Number Publication Date
KR20160052661A KR20160052661A (ko) 2016-05-12
KR102212621B1 true KR102212621B1 (ko) 2021-02-08

Family

ID=52624497

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167008752A KR102212621B1 (ko) 2013-09-07 2014-09-05 기판을 프로세싱하기 위한 시스템 및 방법

Country Status (6)

Country Link
US (1) US9190248B2 (ko)
JP (1) JP6465892B2 (ko)
KR (1) KR102212621B1 (ko)
CN (1) CN105580113B (ko)
TW (1) TWI650791B (ko)
WO (1) WO2015035116A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102673632B1 (ko) * 2016-12-06 2024-06-13 삼성전자주식회사 이온 빔 추출을 위한 슬릿 구조체를 포함하는 이온 빔 장비, 및 이를 이용한 식각 방법 및 자기기억소자의 제조방법
KR102374697B1 (ko) * 2017-09-07 2022-03-15 삼성전자주식회사 반도체 소자의 제조방법
US20190393053A1 (en) * 2018-06-20 2019-12-26 Applied Materials, Inc. Etching apparatus
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams
CN112663028B (zh) * 2020-02-10 2023-04-14 拉普拉斯新能源科技股份有限公司 一种pecvd镀膜机
US11270864B2 (en) * 2020-03-24 2022-03-08 Applied Materials, Inc. Apparatus and system including extraction optics having movable blockers
AU2021329906A1 (en) 2020-08-18 2023-04-27 Enviro Metals, LLC Metal refinement

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011007546A1 (ja) * 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20130052810A1 (en) 2011-08-30 2013-02-28 Varian Semiconductor Equipment Associates, Inc. Engineering of porous coatings formed by ion-assisted direct deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068244A (ja) * 2001-08-28 2003-03-07 Applied Materials Japan Inc イオン注入装置の引出電極系およびイオン注入装置
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US6716727B2 (en) * 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US6777695B2 (en) * 2002-07-12 2004-08-17 Varian Semiconductors Equipment Associates, Inc. Rotating beam ion implanter
GB2393571B (en) * 2002-09-26 2007-03-21 Leo Electron Microscopy Ltd Improvements in and relating to the control of instruments
JP4969781B2 (ja) * 2005-01-14 2012-07-04 株式会社アルバック プラズマドーピング装置
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7498590B2 (en) * 2006-06-23 2009-03-03 Varian Semiconductor Equipment Associates, Inc. Scan pattern for an ion implanter
KR20080063988A (ko) * 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US9177756B2 (en) 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011007546A1 (ja) * 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20130052810A1 (en) 2011-08-30 2013-02-28 Varian Semiconductor Equipment Associates, Inc. Engineering of porous coatings formed by ion-assisted direct deposition

Also Published As

Publication number Publication date
JP2016540360A (ja) 2016-12-22
KR20160052661A (ko) 2016-05-12
CN105580113B (zh) 2017-12-19
US20150069017A1 (en) 2015-03-12
TW201517110A (zh) 2015-05-01
JP6465892B2 (ja) 2019-02-06
WO2015035116A1 (en) 2015-03-12
CN105580113A (zh) 2016-05-11
TWI650791B (zh) 2019-02-11
US9190248B2 (en) 2015-11-17

Similar Documents

Publication Publication Date Title
KR102212621B1 (ko) 기판을 프로세싱하기 위한 시스템 및 방법
JP5704577B2 (ja) プラズマ処理装置および処理対象物を処理する方法
US8623171B2 (en) Plasma processing apparatus
KR102213821B1 (ko) 기판으로 제공되는 이온 빔을 제어하는 프로세싱 장치 및 방법
TWI389181B (zh) 於掃描離子植入期間之改良之離子束利用
CN104508174A (zh) 三维金属沉积技术
KR20110057295A (ko) 플라즈마 잠입 이온을 이용한 가공 장치 및 방법
KR102639550B1 (ko) 다층 증착을 위한 프로세싱 장치
TWI795794B (zh) 處理系統、包括高角度提取光學元件之提取總成
US7391038B2 (en) Technique for isocentric ion beam scanning
KR102644783B1 (ko) 빔 프로세싱 시스템에서 빔 스캔 크기 및 빔 위치를 사용하여 높은 처리량을 위한 방법
TW202123294A (zh) 基底處理系統及基底處理方法
TW201114332A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant