TWI697047B - 處理基板的裝置與系統及蝕刻基板的方法 - Google Patents

處理基板的裝置與系統及蝕刻基板的方法 Download PDF

Info

Publication number
TWI697047B
TWI697047B TW105121980A TW105121980A TWI697047B TW I697047 B TWI697047 B TW I697047B TW 105121980 A TW105121980 A TW 105121980A TW 105121980 A TW105121980 A TW 105121980A TW I697047 B TWI697047 B TW I697047B
Authority
TW
Taiwan
Prior art keywords
substrate
reaction gas
plasma chamber
processing
plasma
Prior art date
Application number
TW105121980A
Other languages
English (en)
Other versions
TW201707085A (zh
Inventor
樹榮 梁
科斯特爾 拜洛
葛蘭 F‧R‧ 吉爾克里斯特
維克拉姆 辛
克里斯多夫 坎貝爾
理查德 赫爾特
艾立克斯恩德 剛特司
皮耶羅 斯佛拉佐
陳宗良
Original Assignee
美商瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瓦里安半導體設備公司 filed Critical 美商瓦里安半導體設備公司
Publication of TW201707085A publication Critical patent/TW201707085A/zh
Application granted granted Critical
Publication of TWI697047B publication Critical patent/TWI697047B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

一種處理基板的裝置。裝置可包含:具有安置在處理腔 室中的反應氣體出口的反應氣體源,反應氣體出口將第一反應氣體引導到基板;耦合到處理腔室且包含提取板的電漿腔室,所述提取板具有沿著第一方向延伸的提取孔隙、安置在處理腔室內以及可在面向反應氣體源的第一位置與面向提取孔隙的第二位置之間沿著垂直於第一方向的第二方向移動;以及安置於反應氣體出口與提取孔隙之間的氣流限制器,氣流限制器界定至少電漿腔室與基板平臺之間的差動泵浦通道。

Description

處理基板的裝置與系統及蝕刻基板的方法 [相關申請的交叉參考]
本申請案要求2015年8月7日申請的美國臨時專利申請案第62/202,261號,題為“使用方向性電漿和反應氣體處理基板的裝置和技術(Apparatus And Techniques To Treat Substrates Using Directional Plasma And Reactive Gas)”的優先權且以引用的方式全文併入本文中。
當前實施例涉及器件處理技術,且更具體地說,涉及用於處理基板(包含用於處理基板表面)的裝置。
由於整合器件尺寸持續縮小,因此圖案化特徵的能力變得越來越困難。在一個方面中,這些困難包含蝕刻特徵以保存圖案或將圖案轉移到基板中的能力。在許多器件應用中,經圖案化的特徵可具有小於50nm的最小尺寸,且在一些狀況下,最小尺寸可小於10nm。此外,在一些實例中,待蝕刻以用於構建和圖案化器件結構的層的厚度可小於10nm。
經研發以可控地蝕刻薄層的一種技術為原子層蝕刻(atomiclayeretching,ALE),其中蝕刻在逐層基礎(layer-by-layer basis)上進行。在第一操作中,在ALE裝置中,可將第一反應物(諸如,反應氣體)引入到基板,其中第一反應物在基板表面上形成自限性單層。自限性單層可包含第一反應物以及來自基板的上部材料層。隨後,可從ALE系統清除第一反應物,且在另一操作中,可提供蝕刻劑以去除自限性單層。以此方式,可一次蝕刻基板的一個單層,從而提供對待去除的材料的量的準確控制。
ALE製程的一個問題為處理基板的相對緩慢速率,由於蝕刻一個單層涉及若干操作(包含用於清除反應物材料的時間)。另外,在已知ALE製程中去除自限性單層可能適合於蝕刻平面結構,但蝕刻非平面結構(諸如,需要幾何選擇性的三維(threedimensional,3D)結構)的能力較低。
就這些和其它考慮來說,當前改進可為有用的。
提供此發明內容以按簡化形式介紹下文在具體實施方式中進一步描述的一系列概念。此發明內容並不意圖識別所主張標的物的關鍵特徵或基本特徵,且也不意圖輔助確定所主張標的物的範圍。
在一個實施例中,處理基板的裝置可包含:具有安置在處理腔室中的反應氣體出口的反應氣體源,反應氣體出口將第一反應氣體引導到基板;耦合到處理腔室且包含具有沿著第一方向延伸的提取孔隙的提取板的電漿腔室;經配置以固持所述基板、安置在處理腔室內以及可在面向反應 氣體源的第一位置與面向提取孔隙的第二位置之間沿著垂直於第一方向的第二方向移動的基板平臺;以及安置於反應氣體出口與提取孔隙之間的氣流限制器,氣流限制器界定至少電漿腔室與基板平臺之間的差動泵浦通道。
在另一實施例中,處理基板的系統可包含容納基板的處理腔室;包含具有沿著第一方向延伸的提取孔隙的提取板的電漿腔室;具有耦合到電漿腔室的反應氣體出口的反應氣體源,反應氣體出口將第一反應氣體引導到電漿腔室;經配置以固持基板,安置在處理腔室內以及可沿著垂直於第一方向的第二方向移動的基板平臺;連接到基板平臺和電漿腔室中的至少一個的偏壓電源,其中偏壓由基板偏壓電源產生於電漿腔室與基板平臺之間;以及耦合反應氣體出口並耦合至所述偏壓電源的控制器,控制器包含發送關閉反應氣體出口的關閉信號且當關閉反應氣體出口時發送相對於電漿腔室負偏壓基板平臺的負偏壓信號的同步器。
在另一實施例中,蝕刻基板的方法可包含當將基板安置在處理腔室中時將反應氣體引導到基板,其中包括反應氣體和來自基板的材料的第一產物層形成於基板的外部表面上;將帶狀束從電漿腔室經由提取孔隙引導到基板的經曝露部分,帶狀束具有沿著第一方向的長軸;以及在引導反應氣體和引導帶狀束期間沿著垂直於第一方向的第二方向掃描基板,其中在經曝露部分中將第一產物層從基板蝕刻,以及在並不曝露於帶狀束的未經曝露部分中並不從基板蝕刻第一產物層。
1:基板
2:基板平臺
3:可調反應氣體源
4:可調反應氣體源
5:氣體歧管
6:電漿
7:離子束
9:提取板
10:偏轉電極
11:RF天線
12:電介質RF窗口
13:射頻發生器
14:匹配網路
15:脈衝DC電源
16:偏轉電壓源
18:束阻斷器
21:UV輻射源
22:UV輻射
24:電漿腔室
100:系統
102:處理腔室
104:基板平臺
106:基板
108:反應氣體源
109:反應氣體出口
110:電漿腔室
112:惰性氣體源
114:發電機
116:提取板
118:束阻斷器
120:氣流限制器
122:電漿
124:孔隙
130:電漿束
132:反應氣體
134:電漿腔室泵
135:泵浦埠
136:處理腔室泵
137:泵浦埠
140:泵浦通道
142:孔隙
144:區域
150:系統
152:控制器
154:偏壓電源
156:反應氣體源
158:反應氣體出口
160:第一提取孔隙
162:第二提取孔隙
170:同步器
172:反應氣體流
174:控制系統
200:基板
202:反應氣體
204:基板特徵
206:產物層
207:溝槽
208:初始表面
209:側壁
210:離子
212:基板平面
214:垂線
300:系統
402、404、406:框
W:寬度
S:距離
H:高度
圖1A描繪根據本發明的實施例的系統。
圖1B描繪圖1A的系統的裝置的平面視圖。
圖1C描繪根據本發明的實施例的另一系統的操作的第一實例。
圖1D描繪圖1C的系統的操作的第二實例。
圖2A至圖2F描繪根據本發明的實施例的基板蝕刻的實例。
圖3呈現根據本發明的實施例的另一系統的一個實施例。
圖4描繪例示性製程流程。
現將在下文中參考附圖更全面地描述本發明的實施例,附圖中展示了一些實施例。本發明的標的物可以許多不同形式體現且並不解釋為限於本文中所闡述的實施例。提供這些實施例是為了使得本發明將是透徹並且完整的,並且這些實施例將把標的物的範圍完整地傳達給所屬領域的技術人員。在圖式中,相同標號始終指代相同元件。
此當前實施例提供處理基板(例如,蝕刻基板(包含基板上的表面特徵))的新穎裝置和新穎技術。如本文中所使用,術語“基板”可指代實體,例如半導體晶片、絕緣晶片、陶瓷以及安置在其上的任何層或結構。因而,可認為表面特徵、層、一系列層或其它實體安置在基板上,其中基板可表示例如矽晶片、氧化層、金屬層等結構的組合。
在各種實施例中,揭示提供對基板的離子束(或“電漿束”)處理以及對基板的反應氣體處理的裝置。可以大體上根據原子層蝕刻(ALE) 製程提供蝕刻的配置和方式來提供離子束和反應氣體。
圖1A描繪根據本發明的實施例的經展示為系統100的裝置。在各種實施例中,系統100可用於執行基板的蝕刻。系統100可包含共同操作以作為提供對基板106的新穎且改進型蝕刻的裝置的各種元件。如所說明,系統100可包含處理腔室102以及安置在處理腔室102內的基板平臺104。基板平臺104可至少沿著平行於所展示的笛卡爾坐標系(Cartesian coordinate system)中的Y軸的方向移動以及進行沿著Z軸的360度旋轉運動。
系統100進一步包含至少一個反應氣體源,經展示為反應氣體源108。反應氣體源108可具有安置在處理腔室102內的反應氣體出口109。反應氣體源108可用於在基板106與反應氣體源108相鄰時將反應氣體132遞送到基板106。在各種實施例中,反應氣體132可能夠與基板106的材料反應,其中包括反應氣體132和來自基板106的材料的第一產物層形成於基板的外部表面上。舉例來說,在一個特定非限制性實施例中,反應氣體132可包括氯氣或含氯材料,而基板106為矽。反應氣體132可作為中性物質遞送;可作為自由基遞送;可作為離子遞送或在一些實施例中,可作為中性物、自由基以及離子的組合遞送。產物層可形成為由接合到矽物質底層的單層氯物質組成。在此上下文中,實施例不受限制。
系統100進一步包含電漿腔室110。電漿腔室110可包含提取板116。如圖1A中所說明,提取板116部分地將電漿腔室110與處理腔室102隔開。提取板116還包含提供電漿腔室110與處理腔室102之間的氣體連通的孔隙124,其中孔隙124充當提取孔隙。以此方式,電漿腔室110可耦 合到處理腔室102。孔隙124可為沿著第一方向(例如,平行於X軸)延伸的細長孔隙,如圖1B中所展示。舉例來說,孔隙124在一些實施例中可具有在100mm與500mm之間的範圍內的寬度W且在一些實施例中可具有在3mm與30mm之間的範圍內的長度L。在此上下文中,實施例不受限制。孔隙124的此細長配置允許將離子束(“電漿束”)提取為帶狀束,意味著離子束具有束寬度大於束長度的橫截面。
如圖1A中進一步所展示,系統100可包含耦合到電漿腔室110以提供惰性氣體(例如,Ar、He、Ne、Kr等)的惰性氣體源112。系統100可進一步包含額外元件(例如,發電機114),其中所述元件共同形成電漿源以產生電漿122。
可通過在電漿腔室110中經由恰當的電漿激勵器(未展示)將來自發電機114的電力耦合到由惰性氣體源112提供的稀薄氣體而產生電漿122。如本文中所使用,通用術語“電漿源”可包含發電機、電漿激勵器、電漿腔室以及電漿自身。電漿源可為電感耦合的電漿(inductively-coupled plasma,ICP)源、環形耦合電漿(toroidal coupled plasma,TCP)源、電容耦合電漿(capacitively coupled plasma,CCP)源、螺旋形源、電子迴旋共振(electron cyclotron resonance,ECR)源、間熱式陰極(indirectly heated cathode,IHC)源、輝光放電源(glow discharge source)、產生電子束的離子源或所屬領域的技術人員已知的其它電漿源。因此,取決於電漿源的本質,發電機114可為射頻發生器、DC電源或微波產生器,而電漿激勵器可包含射頻(radio frequency,RF)天線、鐵氧體耦合器、板、加熱/冷陰極、螺旋形天線或微波發射器。系統100進一步可包含連接到電漿腔室110或 連接到基板平臺104或連接到電漿腔室110和基板平臺104的偏壓電源154。儘管未明確地展示,但電漿腔室110可與處理腔室102電隔離。經由孔隙124提取包括陽離子的電漿束130可通過將電漿腔室110升至正電位且直接或經由接地處理腔室102使基板平臺104接地;或通過使電漿腔室110接地且對基板平臺104施加負電位來實現。偏壓電源154可在直流(direct current,DC)模式或具有可變頻率和工作迴圈的脈衝模式,或交流(alternating current,AC)模式下操作。提取板116可通常根據已知設計來佈置從而以允許控制離子角分佈(即,如下詳述的電漿束130相對於基板106的入射角和角展度)的方式提取電漿束130中的離子。
在一些實施例中,僅可經由孔隙124提取一個電漿束130。在其它實施例中,可經由孔隙124提取一對電漿束。舉例來說,如圖1A和圖1B中所說明,束阻斷器118可安置在電漿腔室110內且與孔隙124相鄰,其中束阻斷器118界定第一提取孔隙160和第二提取孔隙162。如圖1A中所展示,兩個電漿束130可被從電漿腔室110提取且引導到基板106。
如圖1A中進一步所展示,系統100可包含耦合到電漿腔室110的泵浦埠135和連接到泵浦埠135的電漿腔室泵134。舉例來說,電漿腔室泵134可用於減小電漿腔室110內某些物質的濃度,如下文所論述。系統100可進一步包含經由泵浦埠137耦合到處理腔室102以排空處理腔室102的處理腔室泵136。
系統100可進一步包含安置於反應氣體出口與提取孔隙之間的氣流限制器,經展示為氣流限制器120。如圖1A中所展示,舉例來說,氣流限制器120可安置於提取板116的外部上面向基板平臺104。氣流限制器可 界定至少電漿腔室110與基板平臺104之間的差動泵浦通道140。
在操作中,基板平臺104可相對於提取板116平行於Y軸掃描基板。以此方式,基板106的不同部分可在不同時間曝露於反應氣體132。舉例來說,反應氣體出口109可如圖1B中所展示為細長的且可具有類似於孔隙124的寬度W的沿著X軸的寬度和例如3mm的沿著Y軸的長度。在各種實施例中,反應氣體出口109可由分佈在X維度和Y維度內的眾多小孔組成以界定如由虛線所展示的細長形狀,以實現沿著X維度的均一氣體分佈。此外,在一些實例中,反應氣體源108與基板106之間的沿著Z軸的距離可為5mm或小於5mm。在此上下文中,實施例不受限制。以此方式,反應氣體132可經提供為沿著X軸覆蓋基板106整體,而在平行於Y軸的方向上僅覆蓋基板106若干毫米的窄細長流。因此,可通過沿著Y軸掃描基板而使基板106整體以順序方式曝露於反應氣體132。同樣,基板106的不同部分可在不同時間曝露於電漿束130。
另外,如圖1B中所說明,給定區域(例如,基板106的區域A)可以順序方式曝露於反應氣體132和電漿束130。以此方式,在從下到上掃描基板106的實例中,由反應氣體132和基板106的物質製成的產物層最初可形成在區域A處。產物層可為如上文所論述的ALE層,其中產物層為由自限性反應形成的單層。當在電漿束130下向上掃描區域A時,形成於區域A中的產物層隨後可由電漿束130蝕刻。以此方式,可通過在反應氣體132和電漿束130下依序掃描基板以逐個單層的方式蝕刻基板106。
根據本發明的實施例,氣流限制器120可界定至少提取板116與基板平臺104之間的低傳導通道,其經展示為差動泵浦通道140。如下文所 論述,差動泵浦通道140可產生差動泵浦通道140的一端與另一端之間的大壓力差。反應氣體源108通過與泵浦源直接連通的大傳導孔隙而與電漿腔室110隔開。泵浦源可為處理腔室泵136或用以與孔隙142連通的任何其它泵浦源。如果由C142表示孔隙142的傳導率且由C140表示差動泵浦通道140的傳導率,那麼離開反應氣體源108和流動穿過孔隙142的反應氣體的流量與C142/(C142+C140)成比例,而離開反應氣體源108和流動穿過差動泵浦通道140的氣體量與C140/(C142+C140)成比例。根據各種實施例,使用孔隙142和差動泵浦通道140的適當的設計,在這兩個空間區域中反應氣體的分壓可相差2個數量級到3個數量級。使用此差動泵浦方法,系統100可例如維持與反應氣體出口109相鄰的反應氣體132的1E-3托(Torr)的分壓,而在與孔隙124相鄰,通向電漿腔室110的區域144處具有1E-6托的分壓。
此壓力差的結果為可防止反應氣體132的物質回流到區域144中或回流到電漿腔室110中,以及反應氣體132的物質可優先地經由泵浦埠137抽運。此可促進控制電漿束130的組成(例如,從電漿束130減少或排除反應氣體物質)的能力。以此方式,可通過維持將基板106曝露於反應氣體132與將基板106曝露於電漿束130分離而實現更可控制的蝕刻製程。另外或替代地,可通過電漿腔室泵134抽空電漿腔室110,從而進一步減小電漿腔室110中來自反應氣體132的物質的濃度。
根據各種實施例,在反應氣體源108和電漿腔室110維持處於開啟狀態時,可在反應氣體源108和電漿腔室110下依序掃描基板平臺104。以此方式,系統100可提供高產出率ALE製程。具體來說,可避免清除迴 圈,其中如在已知ALE製程中原本要在曝露於反應氣體與曝露於蝕刻製程(例如,電漿束130)之間清除反應氣體132。此外,在一些實施例中,基板平臺104可以連續方式來回(圖1A中的向上和向下)掃描基板106達到預定數目的掃描迴圈,以便從基板106蝕刻預定量的材料。由於可易於計算給定產物層的厚度,因此可容易根據待執行的掃描迴圈的數目控制待蝕刻的總厚度。
現在轉向圖1C,展示根據本發明的其它實施例的另一系統150。系統150可與經類似地標記的系統100共用元件。系統150與系統100之間的差異在於用於將反應氣體供應到基板106的配置。在系統150中,具有反應氣體出口158的反應氣體源156可耦合到電漿腔室110,因此反應氣體出口158可將第一反應氣體引導到電漿腔室110。系統150可進一步包含耦合到反應氣體出口158和偏壓電源154的控制器152。控制器152可包含發送關閉反應氣體出口158的關閉信號且當關閉反應氣體出口時發送相對於電漿腔室110負偏壓基板平臺104的負偏壓信號的同步器170。舉例來說,基板平臺104可相對於電漿腔室110在-10V到-10000V之間的範圍內經偏壓。以此方式,可在足以蝕刻形成於基板106上的產物層的離子能量下從電漿腔室110提取電漿束130,而不將反應氣體引導到基板106,如圖1C中所提議。同步器170可進一步將開啟信號發送到反應氣體出口158且當開啟反應氣體出口158時發送相對於電漿腔室110正偏壓基板106的正偏壓信號。以此方式,如圖1D中所展示,可將反應氣體流172提供到基板106,而不從電漿122提取電漿束130,甚至在電漿122可存在於電漿腔室110中時也是如此。
在一個操作情境中,在例如從下到上的對基板106的第一掃描期間,基板106可曝露於反應氣體流172,如圖1D中所展示。此曝露可形成如上文所論述的自限性產物層。在從上到下的第二掃描中,可通過在提取電漿束130時關閉反應氣體出口158來蝕刻產物層,如圖1C中所展示。以此方式,可通過在圖1D的情境下執行掃描隨後在圖1C的情境下執行掃描來完成能有效地蝕刻基板106的材料單層的給定蝕刻迴圈。
如圖1A、圖1C以及圖1D中進一步所展示,系統100和系統150可進一步包含控制系統174。控制系統174可耦合到系統100或系統150的各種元件,包含上文所描述的偏壓電源154、發電機114以及氣體源。控制系統174可經佈置以改變系統100或系統150的至少一個系統參數。系統參數的實例包含施加到電漿腔室110的RF功率的電平、RF波形、由偏壓電源154施加的離子束的提取電壓、脈衝偏壓電壓的工作迴圈和頻率或基板106與提取板116之間的間距z(意味著基板106與提取板116之間的沿著Z軸的間距)。提取板116的配置為系統參數的另一實例且可包含提取板中孔隙的形狀或大小,等。這些系統參數中的至少一個可從第一值改變為第二值,其中在第一值下電漿束130具有第一形狀且在第二值下電漿束130具有第二形狀。以此方式,可控制電漿束130的參數,例如基板上的入射角、角展度(入射角的範圍)等。此允許根據應用將電漿束130引導到基板。舉例來說,當基板106包含經圖案化特徵(圖1A中未展示)時,可通過以第一入射角引導電漿束130來更好地處理經圖案化特徵的垂直表面,同時可通過以第二入射角引導電漿束130來更好地處理水準表面。
現在轉向圖2A到圖2F,展示根據本發明的實施例的用於執行方 向性ALE或選擇性ALE以便蝕刻基板的一個情境。在各種實施例中,可使用例如系統100或系統150的系統來執行方向性ALE。在圖2A處,展示將反應氣體202引導到基板200的第一實例。出於說明的目的,基板200可為矽。基板200可包含從基板200的基板平面212延伸的基板特徵陣列,經展示為基板特徵204。舉例來說,在一些實施例中,基板特徵204可為線結構、鰭片或檯面。在圖2A的說明中的基板200可為單式結構,其中平面部分和基板特徵204為矽。反應氣體202可能夠與矽反應以形成產物層206,如圖2B中所展示。在一些狀況下,產物層206可為材料單層,且在一些實施例中,可由基板200內的材料以及來自反應氣體202的物質形成。基板200的初始表面208展示於圖2B中。如所說明,產物層206可延伸到基板200中。
現在轉向圖2C,展示在形成產物層206之後將離子210引導到基板200的另一實例。在一些實施例中,離子210可被引導作為相對於基板平面212的垂線214形成非零入射角+θ和入射角-θ的一對電漿束,如所展示。在特定實施例中,入射角+θ和入射角-θ的絕對值相等。在一些實施例中,離子210可為惰性氣體離子,其中惰性氣體離子具有足以蝕刻產物層206的離子能量。可在並不蝕刻產物層206下方的區域中的基板200的離子能量和離子劑量下提供離子210。如圖2C中所說明,離子210的方向性和基板特徵204的形狀可導致基板200的某些表面或部分選擇性曝露於離子210,而其它部分並不曝露於離子210。作為由圖2C所提議的實例,基板特徵204可被佈置成具有高度H且通過距離S彼此間隔開的特徵陣列。因此,當以相對於垂線214的非零入射角引導離子210時,相鄰基板 特徵可彼此遮蔽,從而防止離子210衝擊基板200的某些區域。在圖2C中所說明的實例中,可遮蔽離子210以防止其衝擊處於X-Y平面中的水準表面(至少在基板特徵204的陣列的區域內)。由於此遮蔽,因此可使基板200的未經曝露部分(例如,水準表面上或相鄰基板特徵之間的溝槽區域(經展示為溝槽207)中的產物層206的部分)保持未經蝕刻。
現在轉向圖2D,展示在圖2C中所展示的實例之後的實例。在圖2D處,基板200包含基板特徵204,其中沿著Y軸的基板特徵204的厚度窄於圖2A中基板特徵204的厚度,同時溝槽207保持未經蝕刻。如圖2E和圖2F中進一步所展示,可重複包括方向性ALE的蝕刻迴圈的圖2B和圖2C的操作。在圖2E中,展示在至少一個額外蝕刻迴圈之後的基板200的結構,其中基板特徵204的厚度進一步減小,同時溝槽207繼續保持未經蝕刻。在圖2F中,展示在對圖2E的結構執行至少一個額外蝕刻迴圈之後的基板200的結構,其中基板特徵204的厚度進一步減小,同時溝槽207繼續保持未經蝕刻。
再次參考圖2C,在其它實施例中,可將離子210的入射角調節到相對於垂線214的更大非零入射角,因此僅側壁209的各部分(例如,頂部部分)曝露於離子210。以此方式,可施加方向性ALE以僅蝕刻基板特徵的頂部部分。
在又另外的實施例中,可沿著垂線214引導離子以使得基板200的水準表面經蝕刻,而側壁209保持未經蝕刻。此垂直方向性可提供相較於已知ALE技術更優越的“垂直”原子層蝕刻製程。在已知ALE技術中,處理條件引起氣相散射,從而導致蝕刻離子以不受控方式在一系列角度內 衝擊基板,因此可能不易於實現對基板特徵的高度垂直蝕刻。
圖3呈現允許實現方向性ALE(directional ALE,D-ALE)的系統300的一個實施例。基板1可提供於處理腔室102中。基板1可夾緊到基板平臺2,其中基板平臺2可移動,因此基板1向上行進以攔截反應氣體。舉例來說,反應氣體可由可調反應氣體源3和可調反應氣體源4提供。UV輻射源21可引導UV輻射22,其中在進一步向上掃描基板1時由基板1攔截UV輻射。基板1可進一步曝露於對偶角離子束(經展示為離子束7且從形成於電漿腔室24中的電漿6提取)。可進一步掃描基板1以攔截由第二可調反應氣體源(亦經展示為可調反應氣體源4)輸出的第二反應氣體流。系統300可在可控制的離子能量和角分佈(意味著入射角範圍以及在給定入射角下離子的相對量)下提供離子束7。離子束7可由由大體上如上文所描述的提取板9組成的提取光學件提取。系統300還可包含如上文所描述的束阻斷器18以及偏轉電極10。
可通過允許給定氣體混合物從氣體歧管5進入到電漿腔室24中,同時經由電介質RF視窗12將RF功率從RF天線11耦合到氣體混合物而產生電漿6。可由射頻發生器13經由匹配網路14提供點燃和維持放電的RF功率。離子束7中離子的離子能量可由脈衝DC電源15控制,其中脈衝DC電源可將電漿腔室24維持處於較高正靜電位下,而將基板平臺2和基板1維持處於接地電位下。系統300可進一步包含耦合到偏轉電極10的DC電源,經展示為偏轉電壓源16。可通過改變自偏轉電壓源16施加到偏轉電極的負偏壓電壓來控制離子束7中離子的離子角分佈。具體來說,偏轉電極10可與束阻斷器18相鄰安置,其中束阻斷器18安置於電漿腔室 24與偏轉電極10之間。施加到偏轉電極10的電壓可產生在從電漿6提取離子束7時使離子束7偏轉的電場。具體來說,此可用以在改變至偏轉電極10的偏轉電壓時改變離子束7的入射角。偏轉電極10可因此提供通過僅改變電壓方便地改變通過ALE蝕刻的基板特徵的部分的能力。在各種實施例中,可在處理基板或基板群組期間以動態方式執行此電壓變化。
在曝露於來自電漿腔室24的低能量離子和反應物、經激發的分子和自由基期間,可蝕刻掉通過曝露於可調反應氣體源3或可調反應氣體源4形成的產物單層。可通過調節遞送到RF放電的功率和/或氣體流動速率來控制離子和自由基通量。可通過調節沿著平行於Y軸的方向的掃描速度來調節由基板1所接收到的輻照劑量。對於某些反應,除獨立離子轟擊之外,UV光子輻照還可有助於去除表面產物層。由UV輻射提供的幾eV的光子能量促進表面鍵的斷裂,同時不影響安置在基板1的表面上的單層產物層下方的材料。因此,UV輻射源21中的至少一個可用於產生UV輻射22。在用於處理具有高達300mm的尺寸的基板的一些實施例中,UV輻射源21可在平行於X軸的方向上延伸350mm以均勻地照明整個基板寬度。可通過調節遞送到UV輻射源21的功率來調節光子通量,同時可通過調節沿著Y軸的掃描速度來調節輻照劑量。在一些實施例中,可沿著掃描方向從位置A到位置B到位置C到位置D地掃描基板1,其中基板1可曝露於第二可調反應氣體源(亦展示為可調反應氣體源4)。在一些實施例中,可以連續方式掃描基板1。可通過將基板從位置A到位置B到位置C到位置D地掃描一次而完成方向性ALE蝕刻迴圈。可按需要重複此蝕刻迴圈以從基板1蝕刻目標厚度的材料。在一些變體中,氣流限制器可提供於系統 300中,如參考圖1A在上文中所描述。
圖4描繪根據本發明的實施例的例示性處理流程400。在框402處,執行當將基板安置在處理腔室中時將反應氣體引導到基板的操作,其中包括反應氣體和來自基板的材料的第一產物層形成於基板的外部表面上。在框404處,執行將帶狀束從電漿腔室經由提取孔隙引導到基板的經曝露部分的操作,帶狀束具有沿著第一方向的長軸。在框406處,執行在引導反應氣體和引導帶狀束期間沿著垂直於第一方向的第二方向掃描基板的操作,其中在經曝露部分中從基板蝕刻第一產物層且在未曝露於帶狀束的未經曝露部分中不從基板蝕刻第一產物層。
當前實施例提供優於界定基板中的特徵的常規處理的各種優點。一個優點在於執行原子層蝕刻而不必在曝露於反應氣體之後執行清除,從而提供較高產出率製程的能力。另一優點為通過控制被引導到基板的離子束的入射角而使用ALE製程選擇性地蝕刻基板的經選擇表面或區域的能力。
本發明的範圍不受本文中所描述的特定實施例限制。實際上,根據先前描述和附圖,除本文中所描述的彼等特定實施例之外,本發明的其它各種實施例和對本發明的修改對於所屬領域的一般技術人員將顯而易見。因此,此些其它實施例和修改應處於本發明的範圍內。此外,儘管已出於特定目的在特定環境下在特定實施方案的上下文中描述了本發明,但所屬領域的一般技術人員將認識到其有用性不限於此,並且出於許多目的,本發明可有利地在許多環境中予以實施。因此,應鑒於如本文中所描述的本發明的完全廣度和精神來解釋下文闡述的發明申請專利範圍。
24:電漿腔室
100:系統
104:基板平臺
106:基板
108:反應氣體源
109:反應氣體出口
110:電漿腔室
112:惰性氣體源
114:發電機
116:提取板
118:束阻斷器
120:氣流限制器
122:電漿
124:孔隙
130:電漿束
132:反應氣體
134:電漿腔室泵
135:泵浦埠
136:處理腔室泵
137:泵浦埠
140:泵浦通道
142:孔隙
144:區域
154:偏壓電源
174:控制系統

Claims (6)

  1. 一種處理基板的裝置,其包括:反應氣體源,其具有安置在處理腔室中的反應氣體出口,所述反應氣體出口將第一反應氣體引導到所述基板;電漿腔室,其包含具有沿著第一方向延伸的提取孔隙的提取板;以及基板平臺,其經配置以固持所述基板,安置在所述處理腔室內以及可在面向所述反應氣體源的第一位置與面向所述提取孔隙的第二位置之間沿著垂直於所述第一方向的第二方向移動;以及氣流限制器,其安置於所述反應氣體出口與所述提取孔隙之間,所述氣流限制器界定至少所述電漿腔室與所述基板平臺之間的差動泵浦通道,其中所述反應氣體源為第一反應氣體源,所述處理基板的裝置進一步包括具有將所述第一反應氣體引導到所述基板的第二出口的第二反應氣體源,所述電漿腔室安置於所述第一反應氣體源與所述第二反應氣體源之間,其中所述基板平臺可在所述第一位置、所述第二位置以及面向所述第二反應氣體源的第三位置之間按順序移動。
  2. 如申請專利範圍第1項所述的處理基板的裝置,其進一步包括耦合到所述電漿腔室的泵浦埠以及連接到所述泵浦埠的電漿腔室泵。
  3. 如申請專利範圍第1項所述的處理基板的裝置,其進一步包括耦合到所述處理腔室以排空所述處理腔室的處理腔室泵,其中所述差動泵浦通道內的第一壓力小於所述提取孔隙與所述基板平臺之間的區域內的第二壓力。
  4. 如申請專利範圍第1項所述的處理基板的裝置,其進一步包括耦合到所述電漿腔室以將惰性氣體提供到所述電漿腔室的惰性氣體源。
  5. 如申請專利範圍第1項所述的處理基板的裝置,其進一步包括安置在所述電漿腔室內以及與所述提取孔隙相鄰的束阻斷器,所述束阻斷器界定第一提取孔隙以及第二提取孔隙。
  6. 如申請專利範圍第1項所述的處理基板的裝置,所述提取孔隙包括沿著所述第一方向的100mm到400mm的寬度以及沿著所述第二方向的2mm到30mm的長度。
TW105121980A 2015-08-07 2016-07-13 處理基板的裝置與系統及蝕刻基板的方法 TWI697047B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562202261P 2015-08-07 2015-08-07
US62/202,261 2015-08-07
US14/970,738 US9706634B2 (en) 2015-08-07 2015-12-16 Apparatus and techniques to treat substrates using directional plasma and reactive gas
US14/970,738 2015-12-16

Publications (2)

Publication Number Publication Date
TW201707085A TW201707085A (zh) 2017-02-16
TWI697047B true TWI697047B (zh) 2020-06-21

Family

ID=57983531

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105121980A TWI697047B (zh) 2015-08-07 2016-07-13 處理基板的裝置與系統及蝕刻基板的方法

Country Status (6)

Country Link
US (2) US9706634B2 (zh)
JP (1) JP6866350B2 (zh)
KR (1) KR20180029261A (zh)
CN (1) CN107924838B (zh)
TW (1) TWI697047B (zh)
WO (1) WO2017027165A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10684407B2 (en) 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
WO2019089639A1 (en) * 2017-10-30 2019-05-09 Facebook Technologies, Llc H2-assisted slanted etching of high refractive index material
US10815570B2 (en) * 2017-11-13 2020-10-27 Denton Vacuum, L.L.C. Linearized energetic radio-frequency plasma ion source
EP3503159B1 (fr) * 2017-12-20 2021-05-05 The Swatch Group Research and Development Ltd Procédé d'implantation d'ions sur une surface d'un objet à traiter
US10761330B2 (en) 2018-01-23 2020-09-01 Facebook Technologies, Llc Rainbow reduction in waveguide displays
US10845596B2 (en) 2018-01-23 2020-11-24 Facebook Technologies, Llc Slanted surface relief grating for rainbow reduction in waveguide display
US10914954B2 (en) 2018-08-03 2021-02-09 Facebook Technologies, Llc Rainbow reduction for waveguide displays
US10649119B2 (en) 2018-07-16 2020-05-12 Facebook Technologies, Llc Duty cycle, depth, and surface energy control in nano fabrication
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
JP7203531B2 (ja) 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20210042939A (ko) * 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10468226B1 (en) 2018-09-21 2019-11-05 Varian Semiconductor Equipment Associates, Inc. Extraction apparatus and system for high throughput ion beam processing
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11640909B2 (en) 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US11150394B2 (en) 2019-01-31 2021-10-19 Facebook Technologies, Llc Duty cycle range increase for waveguide combiners
US11391950B2 (en) 2019-06-26 2022-07-19 Meta Platforms Technologies, Llc Techniques for controlling effective refractive index of gratings
US11056319B2 (en) * 2019-07-29 2021-07-06 Applied Materials, Inc. Apparatus and system having extraction assembly for wide angle ion beam
JP2021048194A (ja) * 2019-09-17 2021-03-25 キオクシア株式会社 エッチング装置およびエッチング方法
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2021221675A1 (en) * 2020-04-30 2021-11-04 Hzo, Inc. Atmospheric plasma systems, apparatuses and processes
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
KR102396275B1 (ko) * 2020-06-05 2022-05-09 성균관대학교산학협력단 반도체 발광소자 및 이의 제조 방법
US11495430B2 (en) 2020-07-15 2022-11-08 Applied Materials, Inc. Tunable extraction assembly for wide angle ion beam
US11361968B2 (en) * 2020-08-26 2022-06-14 Applied Materials, Inc. Atomic layer deposition using a substrate scanning system
US20230102972A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Active temperature control for rf window in immersed antenna source
KR102475299B1 (ko) * 2021-10-29 2022-12-06 박준철 웨이퍼 스캔 방법 및 장치, 그리고 상기 장치에서 사용되는 플라즈마 노즐 및 챔버
US11930583B1 (en) * 2022-09-08 2024-03-12 Ali Kaddoura Heat conditioning through deflection/reflection/absorption of electromagnetic waves

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060566A1 (en) * 2002-07-11 2006-03-23 Michel Puech Method and device for substrate etching with very high power inductively coupled plasma
US20100255665A1 (en) * 2009-04-03 2010-10-07 Ludovic Godet Plasma processing apparatus
US8497486B1 (en) * 2012-10-15 2013-07-30 Varian Semiconductor Equipment Associates, Inc. Ion source having a shutter assembly
US20150179409A1 (en) * 2013-12-23 2015-06-25 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0770513B2 (ja) * 1985-05-13 1995-07-31 株式会社日立製作所 エッチングの方法およびエッチング装置
JPH0478268U (zh) * 1990-11-14 1992-07-08
US7183219B1 (en) * 1998-12-28 2007-02-27 Tokyo Electron At Limited And Japan Science And Technology Corporation Method of plasma processing
JP4680333B2 (ja) * 1998-12-28 2011-05-11 東京エレクトロンAt株式会社 プラズマ処理方法、エッチング方法、プラズマ処理装置及びエッチング装置
KR20000044933A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 금속층 식각 방법
EP1160826A3 (en) 2000-05-30 2006-12-13 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation
US6407001B1 (en) 2000-06-30 2002-06-18 Intel Corporation Focused ion beam etching of copper
JP2006054334A (ja) * 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
US20100330787A1 (en) 2006-08-18 2010-12-30 Piero Sferlazzo Apparatus and method for ultra-shallow implantation in a semiconductor device
US8603591B2 (en) * 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20110098355A (ko) 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
WO2013145022A1 (ja) * 2012-03-30 2013-10-03 株式会社日立製作所 炭化珪素半導体装置の製造方法
US9288889B2 (en) * 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060566A1 (en) * 2002-07-11 2006-03-23 Michel Puech Method and device for substrate etching with very high power inductively coupled plasma
US20100255665A1 (en) * 2009-04-03 2010-10-07 Ludovic Godet Plasma processing apparatus
US8497486B1 (en) * 2012-10-15 2013-07-30 Varian Semiconductor Equipment Associates, Inc. Ion source having a shutter assembly
US20150179409A1 (en) * 2013-12-23 2015-06-25 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus

Also Published As

Publication number Publication date
KR20180029261A (ko) 2018-03-20
WO2017027165A1 (en) 2017-02-16
US10004133B2 (en) 2018-06-19
CN107924838B (zh) 2021-09-07
US20170311430A1 (en) 2017-10-26
US9706634B2 (en) 2017-07-11
JP2018523922A (ja) 2018-08-23
JP6866350B2 (ja) 2021-04-28
TW201707085A (zh) 2017-02-16
CN107924838A (zh) 2018-04-17
US20170042010A1 (en) 2017-02-09

Similar Documents

Publication Publication Date Title
TWI697047B (zh) 處理基板的裝置與系統及蝕刻基板的方法
US8858816B2 (en) Enhanced etch and deposition profile control using plasma sheath engineering
US9288889B2 (en) Apparatus and techniques for energetic neutral beam processing
TWI702651B (zh) 處理基底的設備、系統及方法
US20050112891A1 (en) Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7314574B2 (en) Etching method and apparatus
US20130256272A1 (en) Low electron temperature microwave surface-wave plasma (swp) processing method and apparatus
JP2018529224A5 (ja) 基板を処理するための装置、システム及び方法
KR102250213B1 (ko) 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
KR102455239B1 (ko) 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20160300738A1 (en) Plasma Generation and Control Using a DC Ring
US11361935B2 (en) Apparatus and system including high angle extraction optics
TW201715069A (zh) 用以選擇性地將碳層沉積在基底上的設備與方法
US20130082030A1 (en) Plasma Tuning Rods in Microwave Resonator Plasma Sources
TWI795794B (zh) 處理系統、包括高角度提取光學元件之提取總成
KR101285749B1 (ko) 드라이 에칭 방법 및 게이트 라스트 방식의 메탈 게이트 제조 방법
JP2005259873A (ja) エッチング方法
Darnon Plasma etching in microelectronics
JPH1167493A (ja) プラズマ処理装置及びプラズマ処理方法