KR20050014803A - 기판 처리 챔버용 레이저 천공 표면 - Google Patents

기판 처리 챔버용 레이저 천공 표면

Info

Publication number
KR20050014803A
KR20050014803A KR10-2004-7016131A KR20047016131A KR20050014803A KR 20050014803 A KR20050014803 A KR 20050014803A KR 20047016131 A KR20047016131 A KR 20047016131A KR 20050014803 A KR20050014803 A KR 20050014803A
Authority
KR
South Korea
Prior art keywords
gas
substrate processing
chamber
processing chamber
recess
Prior art date
Application number
KR10-2004-7016131A
Other languages
English (en)
Inventor
홍 왕
용시앙 헤
이싱 린
에드윈 씨. 웰돈
클리포드 스토우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050014803A publication Critical patent/KR20050014803A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • B23K26/382Removing material by boring or cutting by boring
    • B23K26/384Removing material by boring or cutting by boring of specially shaped holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • B23K26/382Removing material by boring or cutting by boring
    • B23K26/389Removing material by boring or cutting by boring of fluid openings, e.g. nozzles, jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/02Iron or ferrous alloys
    • B23K2103/04Steel or steel alloys
    • B23K2103/05Stainless steel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • B23K2103/10Aluminium or alloys thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/08Non-ferrous metals or alloys
    • B23K2103/14Titanium or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

기판 처리 챔버는 챔버 내부에 노출되는 표면을 갖는다. 노출된 표면은 서로로부터 이격되는 하나의 패턴의 리세스를 가지며 각각의 리세스는 개구, 측벽 및 바닥 벽을 갖는다. 리세스는 하나의 위치에서 구조물의 일 부분을 증발시키기에 충분하게 긴 시간 동안 구조물의 표면상의 상기 위치로 펄스형 레이저 비임을 향하도록 함으로써 형성된다. 부재는 또한 다수의 레이저 천공 가스 유출구를 구비한 엔클로저를 가지는 가스 분배기일 수 있으며 상기 다수의 레이저 천공 가스 유출구는 엔클로저로 플라즈마의 침입을 감소시키기 위해 상이한 직경을 가진 제 1 및 제 2 개구를 갖는다. 레이저 천공 가스 유출구는 또한 원형 엣지를 갖는다.

Description

기판 처리 챔버용 레이저 천공 표면{LASER DRILLED SURFACES FOR SUBSTRATE PROCESSING CHAMBERS}
기판 처리 챔버는 전자 부재를 제조하도록 프로세스 가스에서 기판을 처리하기 위해 이용된다. 통상적으로, 챔버는 가스가 도입될 수 있는 프로세스 영역을 둘러싸고 플라즈마를 형성하도록 활성화될 수 있는 주위 벽을 포함한다. 챔버는 화학적 또는 물리적 증착에 의해 기판에 재료를 증착하거나 기판으로부터 재료를 에칭하기 위해 이용될 수 있거나, 또는 다른 목적으로 이용될 수 있다. 또한 챔버는 예를 들면 기판 지지체, 가스 분배기, 및 다른 타입의 쉴드와 같은 다른 부재를 포함할 수 있다. 기판의 처리 동안, 챔버에 발생되는 프로세스 잔류물은 챔버 벽 및 부재와 같은, 챔버 내부의 노출 표면에 증착된다.
그러나, 매우 두꺼운 프로세스 잔류물이 내부 챔버 표면에 축적될 때, 잔류물은 종종 얇은 조각으로 떨어져 처리되는 기판을 오염시킨다. 이는 특히 스퍼터링 프로세스에서 스퍼터링된 재료의 두꺼운 잔류물이 노출된 내부 챔버 표면에 축적되는 것이 문제점이 된다. 표면의 온도 상승에 의해 축적된 잔류물과 하부 구조물 사이의 열 팽창 부정합 스트레스가 발생될 때 두꺼운 잔류물이 얇은 조각으로떨어지게 된다. 또한 CVD 증착물이 내부 챔버 표면에 축적되기 때문에 플라스마 강화 및 열 CVD 프로세스에서의 문제점이 된다. 따라서, 챔버는 부재로부터 축적된 잔류물을 세척하기 위해 통상적으로 가끔 중단된다. 이 같은 챔버 중단시간은 매우 경쟁적인 전자 산업에서는 바람직하지 않다.
세척 주기를 감소시키기 위해, 내부 챔버 표면은 때때로 스퍼터링된 재료와 같은 프로세스 잔류물의 부착을 강화하는 코팅 층으로 코팅된다. 이 같은 표면 코팅은 예를 들면, 본 명세서에서 전체적으로 참조되고 2001년 6월 27일에 출원되며 발명의 명칭이 "텍스처화된 표면을 가진 부재를 가지는 챔버 및 제조 방법(CHAMBER HAVING COMPONENTS WITH TEXTURED SURFACES AND METHOD OF MANUFACTURE)"인 린(Lin) 등의 일반 양도된 미국 특허 출원 제 09/895,862호에 설명되어 있다. 이 같은 내부면은 챔버가 오래동안 작동되고 세척하지 않고 프로세스 주기의 수를 증가시키는 반면, 축적된 증착물 및 하부 코팅은 표면으로부터 미소하게 균열되거나 얇은 조각으로 갈라진다. 챔버에서의 플라즈마는 이 같은 미소 균열 및 손상 영역을 관통하여 챔버의 누출 표면을 침식한다. 세척 없이 증가되는 처리 주기 및 더 두꺼운 프로세스 잔류물을 견딜 수 있는 내부면을 갖는 챔버 벽 및 부재를 제조하는 것이 바람직하다.
기판을 처리하기 위해 챔버로 가스 또는 기판 아래로 열 전달 가스를 공급하기 위해 사용되는 가스 분배기 와 같은 부재의 제조시 또 다른 문제점이 발생한다. 이러한 가스 분배기 중 일부는 높은 종횡비를 가지는 대형의 매우 미세한 가스 유출 구멍을 갖는다. 예를 들면, 기판을 향하는 샤워헤드 가스 분배기는 4이상의 종횡비를 가지고 직경이 0.25 mm(약 0.01 인치) 보다 작은 크기의 구멍을 가질 수 있다. 다수의 미세 구멍은 기판의 표면에 걸쳐 더욱 균일하게 프로세스 가스의 흐름을 뿌리지만 특히 부서지기 쉬운 세라믹 재료로 제조된 가스 분배기에서 제조하기가 어렵다. 미세한 구멍을 형성하기 위한 종래의 기계적 천공 방법은 종종 비균일한 크기를 가지거나 불균등하게 이격된 구멍 또는 부서지고 거친 엣지를 가진 구멍을 초래하고, 구멍 주위 영역에서 미소 균열이 발생할 수도 있다. 챔버에 형성된 전기적으로 하전된 가스 상태의 종의 플라즈마가 가스 분배기의 구멍으로 유입되어 가스 분배기에서의 바람직하지 않은 아킹 또는 글로 방전이 발생할 때 또 다른 문제점이 발생한다. 이러한 방전은 구멍을 침식시킬 수 있다. 따라서, 이 같은 부재에 미세한 구멍을 제조하는 방법에 대한 요구가 있으며, 또한 바람직하지 않은 아킹 및 글루 방전을 감소시키는 구멍을 제조하는 것이 바람직하다.
본 발명의 실시예는 기판을 처리하기 위한 기판 처리 챔버에 관한 것이다.
도 1a는 본 발명의 일 실시예에 따른 처리 챔버의 개략도이며,
도 1b는 모두 챔버의 기판 지지체에 놓여 있는 기판을 둘러싸는, 증착 링, 커버 링, 상부 및 하부 쉴드를 보여주는, 본 발명에 따른 또 다른 처리 챔버에 있는 다양한 쉴드를 개략적으로 도시한 측면도이며,
도 2는 처리 챔버의 부재의 레이저 비임 천공된 리세스의 측단면도이며,
도 3a는 처리 챔버의 부재에 형성되는 사각형 리세스의 측단면도이며,
도 3b는 증착 재료를 수집하는 도 3a의 리세스의 측단면도이며,
도 4a는 처리 챔버의 부재에 형성되는 각도진 리세스의 측단면도이며,
도 4b는 증착 재료를 수집하는 도 4a의 리세스의 측단면도이며,
도 4c는 도 4a의 리세스의 평면도이며,
도 5는 가스 분배기의 계단형 가스 유출구의 측단면도이며,
도 6은 가스 분배기의 사다리꼴 단면을 가지는 가스 유출구의 측단면도이다.
도 7은 도 1a에 도시된 챔버를 작동시키기에 적합한 제어기의 실시예의 개략도이다.
하나의 양상에서, 기판 처리 챔버용 부재는 챔버에서 플라즈마에 적어도 부분적으로 노출되는 표면을 가지는 구조물을 포함하며, 노출된 표면은 서로로부터 이격되는 하나의 패턴의 레이저 천공된 리세스를 가지며, 각각의 리세스는 개구, 측벽, 및 바닥 벽을 갖는다.
기판 처리 챔버용 키트는 다수의 부재를 포함할 수 있다. 하나의 타입의 키트는 예를 들면 증착 링, 커버 링, 상부 가스 쉴드, 하부 가스 쉴드를 포함하는 쉴드인 부재를 포함한다.
부재는 챔버에서 플라즈마를 적어도 부분적으로 노출하는 표면을 가지고, 구조물에 리세스를 형성하도록 펄스형 레이저 비임을 구조물의 일 부분을 증발시키도록 구조물의 표면에서의 하나의 위치로 향하도록 하며, 구조물의 표면에 하나의 패턴의 이격된 리세스를 형성하도록 구조물의 표면의 다른 위치에서 펄스형 레이저 비임을 향하도록 하는 구조물을 형성함으로써 제조될 수 있다.
또 다른 양상에서, 기판 처리 챔버로 프로세스 가스를 분배하기 위한 프로세스 가스 분배기가 엔클로저, 엔클로저로 프로세스 가스를 제공하기 위한 가스 도관, 및 기판 처리 챔버로 프로세스 가스를 분배하도록 엔클로저에 다수의 레이저 천공된 가스 유출구를 포함한다. 적어도 일부 가스 유출구는 엔클로저 내부에 제 1 직경을 가지는 제 1 개구 및 챔버 내부에 제 2 직경을 가지는 제 2 개구를 갖도록 형성될 수 있다. 이와 달리, 또는 부가하여, 적어도 일부 가스 유출구가 원형 엣지를 가질 수 있다.
이러한 본 발명의 특징, 양상, 및 장점은 후술되는 상세한 설명, 첨부된 청구범위 및 본 발명의 일 예를 도시한 도면을 참조하여 더욱 용이하게 이해할 수 있다. 그러나, 각각의 특징은 일반적으로 발명에서 사용될 수 있지만 단지 특별한 도면의 내용에서는 사용되지 않을 수 있으며, 본 발명은 이러한 특징의 조합을 포함한다.
도 1a 및 도 1b에 도시된 바와 같이, 본 발명에 따른 처리 챔버(100)의 실시예들은 기판(110) 상에 물질을 증착하거나(CVD), 기판(110) 상에 물질을 스퍼터링하거나(PVD), 또는 기판(110)으로부터 물질을 제거(에칭)하기 위해, 열을 갖거나 또는 플라즈마 상태의 가스를 에너지화함으로써 기판(110)을 처리하는데 사용된다. 예컨대, 후속되는 프로세스들을 위해 기판을 세척하고 준비하기 위해, 이온 및 중성자를 기판에 충돌시킴으로써 기판(110)으로부터 에칭 물질을 스퍼터링하도록 가스가 에너지화될 수 있다. 하나의 양상에서, 챔버(100)는 밑에 놓인 금속층의 산화에 의해 기판(110) 상에 형성된 본래의 산화물층을 세척하는데 사용되어, 기판(110) 상의 세척된(cleaned off) 밑에 놓인 금속층과 양호한 전기 접속을 이루는 금속층을 증착하기 위해, 후속되는 금속 증착 프로세스가 실행될 수 있다. 챔버(100)는 또한 타깃(121)으로부터 기판(110) 상에 물질을 스퍼터링하는데 사용될 수 있다. 처리되는 기판(110)은 통상 반도체 웨이퍼 또는 유전체 플레이트이며, 반도체, 유전체 또는 전도 재료일 수 있다. 통상적인 반도체 재료는 원소 실리콘 또는 실리콘 화합물 및 갈륨 비소와 같은 실리콘 함유 재료를 포함한다. 유전체 재료는 이산화규소, 도핑되지 않은 실리케이트 유리, 포스포실리케이트 유리(phosphosilicate glass; PSG), 보로포스포실리케이트 유리(BPSG), 질화규소, 및 TEOS 증착 유리를 포함한다. 반도체 재료는 알루미늄, 구리, 텅스텐 규화물, 코발트 규화물, 티타늄/티타늄 니트라이드, 및 탄탈/탄탈 니트라이드를 포함한다.
처리 챔버(100)의 일 부분 또는 모두 금속 또는 세라믹 재료로부터 제조될 수 있다. 처리 챔버(100)를 제조하기 위해 사용될 수 있는 금속은 알루미늄, 양극산화처리 알루미늄, "하이네스(HAYNES) 242", "AI-6061", "SS304", "SS 316", 및 때때로 선호되는 양극산화처리된 알루미늄 중 인코넬(INCONEL)을 포함한다. 예를 들면, 하나의 버젼에서, 처리 챔버(100)는 석영과 같은, RF 파장이 실질적으로 투과할 수 있는 세라믹 재료로 제조되는 챔버(100)에 있는 프로세스 영역(340) 주위의 챔버 벽(120)을 포함한다. 챔버 벽(120)은 챔버(100)의 측벽(130), 바닥 벽(135), 또는 천장(140)을 포함할 수 있다. 천장(140)은 다중 반경의 호 형상을 가진 도 1a에 도시된 바와 같이 형성된 돔형일 수 잇거나 도 1b에 도시된 바와 같이 평평한 형상일 수 있다. 하우징(152)은 프로세싱 챔버의 외부의 전기 및 자기장이챔버(100)의 작동을 간섭하는 것을 방지하기 위해 이용된다.
도 1b에 도시된 실시예에서, 챔버(100)는 플라즈마로부터 챔버(100)의 부재 또는 벽을 차폐하고, 플라즈마 또는 다이렉트 플라즈마 또는 기판(110)을 향하거나 기판으로부터 제거되는 스퍼터링된 종에 형성된 잔류물 재료(250)를 수용하여 챔버(100)의 내부에 노출된 표면(195)을 가지는 쉴드(150)를 포함하는 다수의 부재(410)를 갖는다. 쉴드(150)는 또한 각각 기판(110) 및 지지부(160)에 대한 상부 및 하부 가스 쉴드(392, 394)를 포함할 수 있다. 쉴드(150)는 또한 측벽(130) 또는 천장(140)에 인접하여 위치하는 라이너(395)와 같은, 챔버의 내부벽의 일 부분을 덮을 수 있다. 쉴드(150)는 알루미늄, 티타늄, 스테인레스 강 및 산화 알루미늄으로 제조될 수 있다.
챔버(100)용 키트는 예를 들면, 증착 링(390), 커버 링(391), 및 상부 및 하부 가스 쉴드(392, 394)를 포함하는 쉴드(150)와 같은, 한 세트의 부재(410)를 포함하지만, 또한 본 기술분야의 기술자에게 명백한 바와 같은 다른 부재 세트일 수 있다. 키트는 때때로 교체되거나 수리되거나, 세척되는 것이 요구되는, 일반적으로 하나 이상의 챔버 부재(410)로서 판매된다. 예를 들면, 챔버에서 다수의 기판의 처리 후 때때로 세척되는 것이 요구될 수 있는 증착 링(390) 및 커버 링(391)과 같은 쉴드(150)를 포함하는 쉴드 부재의 키트일 수 있다. 때때로 챔버 부재(410)의 키트가 교체되는 것이 요구되기 전에 챔버에서 100개 또는 500개의 기판이 처리된다. 키트 부재는 또한 예를 들면, 프로세스 잔류물 및 잔류물 코팅을 벗겨내고 부재(410)에 새로운 코팅을 도포함으로써 새롭게 할 수 있다.
본 발명의 하나의 양상에서, 레이저 비임 드릴(300)이 도 2에 도시된 바와 같이 기판 처리 챔버(100)의 부재(410)의 표면(195)로 하나의 패턴의 리세스(200)을 레이저 천공하기 위해 사용된다. 부재(410)의 표면(195)은 챔버(100)의 프로세스 존(340) 내에서 가스 또는 플라즈마에 노출될 수 있다. 각각의 리세스(200)는 개구(230), 측벽(210, 211) 및 바닥 벽(220)을 구비한다. 부재(410)는 표면(195)에 알루미늄, 스테인레스 강, 알루미늄 산화물, 또는 티타늄과 같은 금속을 포함하고 있다. 예컨대, 부재(410)는 상술한 쉴드(150) 가운데 하나일 수 있으며, 쉴드의 키트를 포함하는 부재에 대해 특히 유용하다.
도 3a 및 도 3b에 도시된 바와 같이, 부재(410)의 표면(195) 내의 레이저 천공된 리세스(200)는 플라즈마에서 프로세스 잔류물(250)의 접착을 향상시킨다. 리세스(200)는 프로세스 잔류물(250)이 수집될 수 있는 구조물(190) 내에 개구를 포함하며, 이러한 개구에 의해 프로세스 잔류물(250)이 구조물(190)에 단단히 부착될 수 있다. 이와 같이 직조된 표면(195)은 프로세스 잔류물(250)의 높은 수준의 접착을 제공한다. 이들 프로세스 잔류물(250)에 단단히 접착시킴으로써, 이와 같이 직조된 표면(195)은 부재(410)로부터 프로세스 잔류물(250)이 엷은 조각으로 벗겨지는 것을 거의 방지한다. 프로세스 잔류물(250)과 구조물(190) 사이의 기계적인 고착력(locking force)은 리세스(200)의 간격, 리세스(200)의 프로파일, 및 구조물 표면(195)의 국부적인 곡률을 포함하는 몇 가지 요인에 좌우한다.
일 실시예에서, 도 4a 및 도 4b에 도시된 바와 같이, 리세스(200)의 측벽(210, 211)은 바닥 벽(220)에 대해 경사져 있다. 예컨대, 측벽(210, 211)은 구조물(190)의 평평한 표면(195)으로부터 약 60도 내지 85도의 각도(θ)로 경사질 수 있다. 일 실시예에서, 측벽(210, 211)은 리세스(200)의 크기가 리세스(200) 안으로 깊이로 증가하도록 경사져 있다. 리세스(200)의 경사진 측벽(210, 211)에 의해, 횡단면은 챔버 안으로의 리세스(200)의 개구(230)에서의 제 1 크기와 리세스(200)의 바닥 벽(220)에서의 제 2 크기를 가지며, 제 2 크기는 제 1 크기보다 크다. 예컨대, 제 1 크기는 적어도 약 20 미크론일 수 있으며, 제 2 크기는 적어도 약 30 미크론일 수 있다.
리세스(200)는 도 4c에 도시된 형상을 가질 수 있는데, 여기서, 실선에서 도시된 바와 같이 개구(230)의 형상은 거의 원형이며, 점선으로 도시된 바와 같이 리세스(200)의 바닥부(220)의 형상은 거의 달걀형 또는 타원형일 수 있다. 이와 같이 쐐기형(wedge shaped) 리세스(200)가 테이퍼형 횡단면을 가짐으로써, 프로세스 잔류물(250)이 리세스(200)에 충진될 수 있고 표면(195)에 보다 강력하게 부착되어 유지될 수 있다. 리세스(200)의 바닥(220)에 축적된 보다 큰 형상의 프로세스 잔류물(250)이 보다 협소한 크기의 개구(230)를 용이하게 통과해서 표면(195)에 프로세스 잔류물(250)을 보다 단단히 유지시키도록 작용하기 때문에, 쐐기형 리세스(200)는 표면(195)의 잔류물(250)을 단단히 유지한다. 따라서, 경사진 벽의 리세스(200)는 프로세스 잔류물(250)의 보유를 향상시킨다. 프로세스 잔류물(250)이 리세스(200)에 유입되고 리세스 내에서 고화되기 때문에, 그리고 리세스의 개구가 리세스(200) 안으로 보다 깊이 들어가면서 보다 넓어지는 테이퍼 형상을 가지기 때문에, 도 4b에 도시된 바와 같이, 고화된 프로세스 잔류물(250)이 리세스(200) 내에 머물러 있게 된다. 리세스(200) 내부의 고화된 프로세스 잔류물(250)은 구조물(190)의 표면(195) 상의 잔류물(250)에 강력하게 접착되며, 따라서, 구조물(190)에 표면 잔류물(250)을 단단히 유지시킨다.
일 양상에서, 부재(410)의 노출된 표면(195)은 직조된 표면을 형성하도록 리세스(200)의 패턴으로 거의 완전히 덮힐 수 있다. 이러한 패턴은 예컨대, 규칙적으로 이격된 배열의 리세스(200)를 포함할 수 있으며, 이들 리세스(200) 사이의 간격은 직조된 표면(195)에 의해 프로세스 잔류물(250)의 흡수 및 보유를 최적화하도록 선택된다. 예컨대, 표면(195) 상에 보다 많은 프로세스 잔류물(250)이 수집된다면, 리세스(200)는 노출된 표면(195)을 가로질러 보다 밀집되어 이격될 수 있어서, 표면이 보다 많은 양의 잔류물을 수용할 수 있게 한다.
도 2를 참조하면, 레이저 비임 드릴(300)은 노출된 표면(195) 상에 레이저 비임(310)을 인도하여 노출된 표면(195)의 물질을 기화시키며, 노출된 표면(195) 내에 리세스(200)를 효과적으로 생성시키고 깊게 한다. 일 실시예에서, 레이저 비임 드릴(300)은 초과된 시간동안 변조되는 강도를 가지는 펄스형 레이저 비임(310)을 발생시키는 레이저 비임 발생기(320)를 포함한다. 이러한 펄스형 레이저 비임(310)은 피크 펄스 파워(peak pulse power)를 사용하여 열 손실을 최소화하면서 물질(335)의 기화 또는 액화를 향상시킴으로써, 리세스(200)의 형상에 대한 보다 향상된 제어를 제공한다. 레이저 에너지는 물질(335)로의 과도한 열 전달없이 물질(335)의 분자의 층들을 계속해서 해리시킨다. 레이저 비임 드릴(300)은 바람직하게는, 예컨대, 약 360 나노미터 미만, 예컨대 약 350 나노미터의 파장을 가지는 초음파 레이저 비임을 발생시키는 엑시머 레이저를 포함한다. 400 나노미터보다 긴 파장을 갖는 레이저 비임을 사용하면 작업편 안으로 상당한 열 발생을 야기하며, 이러한 열 발생은 질 나쁜 표면 조직과 잠재적인 미소 균열을 가져온다.
레이저 비임 드릴(300)은 피크 펄스 동력, 펄스 기간, 및 펄스 주파수 중 하나 이상을 변경시킴으로써 제어될 수 있다. 펄스화된 레이저 비임(310)은 레이저 비임(310)에 노출된 재료의 소정 두께를 제거하기 위해 충분히 높은 피크 동력 레벨에서 작동된다. 예를 들어, 텍스춰드 표면(textured surface)을 형성하기 위해, 펄스화된 레이저 비임(310)은 구조물(190)의 전체 두께에 걸쳐 천공하지 않고 구조물(190) 내에서 종료되는 바닥 벽(220)을 갖는 리세스(200)를 형성하기 위해 충분히 높은 소정의 동력 레벨에서 작동된다. 그러나, 리세스(295)를 형성하기 위해, 레이저 비임 동력 레벨은 구조물(190)의 두께를 통해 구멍을 천공하도록 설정된다. 그러므로, 레이저 비임 드릴(300)은 구조물(190)의 표면 상에 있는 리세스(200) 또는 구조물(190)을 통해 연장하는 리세스(200)를 형성할 수 있는 레이저 비임을 발생시킨다. 레이저 비임 드릴(300)은 일반적으로 소정 구조물의 정확한 구멍을 드릴할 수 있는 고동력의 펄스화된 UV 레이저 시스템이며, 리세스(200) 엣지의 지름, 깊이, 경사 각도, 테이퍼 각도, 및 라운딩 정도를 설정하기 위해 제어될 수 있다.
레이저 비임 드릴(300)은 천공에 대해 약 100 이하의 높은 종횡비를 갖는 펄스화된 레이저 비임(310)을 제공한다. 레이저 비임(310)은 구조물(190) 상의 지점에 포커스되고 이 지점에서 재료를 충분히 높은 온도로 가열하여 액상 및/또는 기상을 형성시킴으로써 재료를 변형하여 구멍이 형성된다. 상기 사이트로부터 액상및 기상의 제거에 의해 펄스-바이-펄스(pulse-by-pulse) 상태로 소정의 구멍 구조물이 형성된다. 예를 들어, UV 펄스화된 엑시머 레이저는 약 10 내지 약 30나노초의 펄스 폭(각각의 펄스 시간), 약 10 내지 약 400와트의 평균 동력 레벨, 및 약 100Hz 내지 약 10,000Hz의 펄스 주파수에서 작동될 수 있다. 10 내지 30나노초의 펄스화된 레이저 작업 중에, 고상으로부터 액상 및 기상으로의 재료의 변태는 충분히 신속하여 구조물(190) 본체에 열이 전달될 실질적인 시간이 없다. 그러므로, 고동력의 UV 펄스화된 레이저 비임은 레이저 마이크로 가공 프로세스 중에 열에 의해 영향받는 구조물(190)의 영역의 크기를 효과적으로 최소화시켜 국부적인 미소 균열을 최소화시킨다.
레이저 비임 드릴(300)은 레이저 비임(310)의 공급원과 구조물(190) 사이의 거리를 결정하고, 그에 따라 레이저 비임(310)을 포커스시키는 자동 포커싱 메카니즘(도시 않음)을 포함할 수 있는 광학 시스템(330)을 포함한다. 예를 들어, 자동 포커싱 메카니즘은 구조물(190)로부터의 광 비임을 반영하고 반사된 광 비임을 탐지하여 구조물(190)의 표면(195)으로부터의 거리를 결정한다. 탐지된 광 비임은 예를 들어 간섭 방법에 의해 분석될 수 있다. 이러한 자동 포커싱 메카니즘은 구조물(190)의 표면(195)이 평탄하지 않을 때와 같이 레이저 비임(310)을 보다 적절하게 포커싱함으로써 개선된 레이저 천공을 제공한다.
레이저 비임 드릴(300)은 가스 스트림(355)을 구조물(190)의 천공된 영역으로 지향시키는 가스 제트 공급원(342)을 더 포함할 수도 있다. 가스 스트림은 천공 속도와 균일성을 개선시키고 기화된 재료로부터 포커싱 렌즈(330)를 보호하기위해 레이저 천공되는 영역으로부터 기화된 재료(335)를 제거한다. 상기 가스는 예를 들어 불활성 가스를 포함할 수도 있다. 가스 제트 공급원(342)은 스트림 가스를 구조물(190) 상에 포커스시키고 지향시키기 위해 구조물(190)로부터 소정의 이격된 거리에서 노즐(345)을 포함한다.
레이저 천공되는 구조물(190)은 일반적으로 이동 가능한 스테이지 상에 장착되어 레이저 비임 드릴(300)이 구조물 표면 상의 상이한 지점에 위치되어 구조물 내에 리세스(200)를 천공한다. 예를 들어, 적절한 스테이지는 ±5미크론의 해상도와 50mm/초의 최대 속도를 가지면서 X, Y, Z 방향으로 ±1 미크론의 증분 이동을 할 수 있는 4-5축 이동 시스템일 수 있다.
기판 처리 챔버(100)의 부재(410)을 제조하는 방법은 구조물(190)을 형성하는 초기 단계를 포함한다. 리세스(200)는 그 후 펄스화된 레이저 비임(310)을 구조물(190)의 표면(195) 상의 위치를 향해 지향시켜 구조물(190)의 일부를 기화시킴으로써 레이저 천공된다. 펄스화된 레이저 비임(310)은 구조물(190)의 또다른 위치 상에 지향되어 구조물 내에 또다른 리세스(200)를 형성하기 위해 구조물(190)의 표면(195) 상의 또다른 위치에 지향된다. 이러한 단계들은 구조물(190)의 표면(195) 내에 리세스(200)의 패턴을 형성하기 위해 반복된다. 구조물(190) 내에 리세스(200)를 형성하는 이러한 프로세스는 노출된 표면(195) 전체가 실질적으로 리세스(200)로 덮힐때까지 반복된다. 예를 들어, 도 4a 및 도 4b에 도시된 것처럼 경사진 측벽(210, 211)을 갖는 리세스(200)를 형성하기 위해, 펄스화된 레이저 비임(310)은 구조물(190)의 표면(195)과 약 60 내지 약 85도의 각(θ)을 갖는 경사진측벽(210, 211)을 형성하도록 선택되는 입사각(θ2, θ3)으로 구조물(190)의 표면(195) 상에 지향된다. 예를 들어 도 4a를 참조하면, 제 1 레이저 비임(311a)은 구조물(190)의 측벽(211)을 형성하기 위해 약 60 내지 약 85도의 입사각(θ2)으로 구조물(190)의 표면(195) 상에 지향되고 그 후 제 2 레이저 비임(311b)으로 도시된 것처럼 리세스(200)의 다른 경사진 측벽(210)을 형성하기 위해 약 95 내지 약 120도의 입사각(θ3)으로 구조물(190)의 표면(195) 상에 지향될 수도 있다.
도 1a를 다시 참조하면, 본 발명의 또다른 측면은 기판(110)을 처리하기 위해 챔버(100)의 프로세스 구역(340) 내에 프로세스 가스를 제공하는데 유용한 가스 분배기(260)를 포함한다. 에칭 프로세스에서, 가스 분배기(260)는 에칭 가스를 프로세스 구역(340)에 제공하는 반면, 증착 프로세스에서 가스 분배기(260)는 증착 가스를 제공한다. 스퍼터링 에칭 프로세스에서, 에칭 가스는 기판 재료와 화학적으로 반응하지 않는 아르곤 또는 크세논과 같은 불활성 가스를 포함할 수도 있다. 가스 분배기(260)는 프로세스 가스가 챔버(100) 내부에 이송되기 전에 프로세스 가스를 함유하는 프로세스 가스 공급원(280)에 연결된다.
일반적으로, 가스 분배기(260)는 가스를 프로세스 구역(340) 내로 전달하기 전에 프로세스 가스를 수용하고 유지하는 공동(126) 주위의 엔클로저(125)를 포함한다. 가스 도관(262)은 프로세스 가스를 가스 공급원(280)으로부터 엔클로저(125)로 이송시키기 위해 제공된다. 엔클로저(125)는 기판(110) 위로 가스를 방출시키는 가스 방출 샤워헤드의 내부 공동을 둘러싸는 쉘과 같이, 프로세스 가스 공급원(280)과 프로세스 구역(340) 중간에 있을 수도 있다. 엔클로저(125)는 공동(126)을 형성하도록 서로 결합되는 하부벽, 측벽, 및 상부벽을 포함한다. 엔클로저(125)의 하나 이상의 벽은 챔버(100)의 프로세스 구역(340) 내의 분위기에 노출되는 표면(411)을 갖는다. 벽들 각각은 별도의 구조물이거나 단일 구조물로 제조될 수도 있다. 엔클로저(125)는 알루미늄, 알루미늄 나이트라이드, 알루미늄 옥사이드, 실리콘 카바이드 또는 석영으로 제조될 수도 있다.
엔클로저(125) 내의 다수의 레이저 천공된 가스 배출구(265)는 프로세스 가스를 챔버(100)의 프로세스 구역(340) 내로 분배시킨다. 선택적으로, 레이저 천공된 가스 배출구(265)는 프로세스 가스의 흐름을 챔버(100)의 프로세스 구역(340) 내에 균일하게 분배시키기 위해 가스 트렌치 커버(266)에서 이격된다. 예를 들어, 엔클로저(125)는 프로세스 구역(340)으로부터 가스 트렌치 커버(266)의 대향 측부에 있을 수도 있다. 가스 배출구(265)는 챔버(100) 내에 프로세스 가스의 균일한 분산을 제공하기 위해 가스 트렌치 커버(266) 내에 위치된다. 예를 들어, 가스 배출구(265)는 프로세스 가스를 기판(110) 주위에 유입시키기 위해 기판(110)의 주변에 위치될 수도 있다. 가스 분배기(260)는 약 1 개 내지 약 20,000 개의 가스 배출구(265)를 포함할 수도 있다.
적어도 소정의 가스 배출구(265)는 프로세스 가스가 엔클로저(125)로 재유입되는 것을 방지하면서 프로세스 구역(340)으로 유입되는 것을 허용하기 위해 테이퍼진다. 개개 가스 배출구(265)는 엔클로저(125)에 있는 제 1 직경(d1)을 갖는 제 1 개구와 엔클로저(125) 외부에 있는 제 2 직경(d2)을 갖는 제 2 개구를 포함하여가스 배출구(265)가 테이퍼진다. 일반적으로 제 2 직경(d2)이 제 1 직경(d1) 보다 작다. 예를 들어, 제 2 직경(d2)은 약 0.25mm(약 0.01인치)와 같이 약 1mm(약 0.04인치) 이하일 수도 있고, 제 1 직경(d1)은 약 2.3mm(약 0.09인치)와 같이 약 2.5mm(약 0.10인치) 이하일 수도 있다.
가스 배출구(265)를 갖도록 가스 분배기(260)를 형성하는 단계는 엔클로저(125)의 적어도 일부분이고 그 위에 표면(411)을 갖는 구조물(264)을 형성하는 초기 단계를 포함한다. 예를 들어, 구조물(264)은 가스 트렌치 커버(266)의 일부일 수도 있다. 펄스화된 레이저 비임(310)은 구조물 내에 가스 배출구(265)를 레이저 드릴하기 위해 구조물(264)의 표면(411) 상으로 지향된다. 포커스된 비임(310)의 횡단면 구조는 레이저 천공 프로세스 중에 제 1 및 제 2 직경(d1, d2) 중 어느 하나로 설정된다. 비임(310)의 비임 크기(폭)는 또한 테이퍼진 가스 배출구(265)를 형성하기 위해 레이저 천공 프로세스 중에 조절될 수 있다. 예를 들어, 비임 크기는 비임 공급원 전방의 조리개를 개폐시키거나 그 치수를 변경하도록 비임을 포커싱시킴으로써 조절될 수도 있다.
테이퍼진 가스 배출구(265)의 제 2 직경(d2)은 챔버의 프로세스 구역(340) 내에 형성된 플라즈마가 엔클로저(125)로 유입되는 것을 제한하기 위해 제 1 직경(d1) 보다 충분히 작다. 예를 들어, 제 1 직경(d1)은 약 1.3mm 이상일 수도 있고 제 2 직경(d2)은 약 0.3mm 이하일 수도 있다. 테이퍼진 가스 배출구(265)는 계단식 구멍을 갖는 종래의 구멍과 유리하게 비교되며 가공 중에 그리고 양극 프로세스(anodization process) 후에 구멍 내의 미소 균열을 감소시킨다.
또 다른 실시예에서, 가스 출구(265)는 도 5에서 도시된 것처럼 제 1 직경(d1)을 가지는 출구(265)의 길이 일부 및 제 2 직경(d2)을 가지는 길이 일부로 단계져 있는 단면적을 갖는다. 상기 단계진 출구는 제 1 직경을 갖춘 제 1 레이저 비임(310)을 상기 구조물(190)의 제 1 깊이까지 가한 후에, 제 2 직경을 갖춘 제 2 레이저 비임(310)을 제 2 깊이까지 가하여 제조된다.
바람직한 실시예에서, 가스 출구(265)는 도 6에서 도시된 것처럼, 실질적으로 연속하여 테이퍼진 단면적을 포함한다. 단면적이 연속적으로 그리고 평평하게 테이퍼짐으로 해서, 프로세스 가스가 돌발적 장애물 없이 가스 출구(265)를 통해 흐를 수 있게 된다. 이렇게 평평하게 테이퍼진 구멍은, 구조물(190) 상의 한 지점에 유지된채 펄스가 가해지는 시간 내내 직경이 연속적으로 감소하는 비임 크기를 갖는 레이저 비임(310)을 상기 구조물(190)에 가함으로써 제조될 수 있다. 연속적으로 테이퍼진 단면적은, 제조 과정 동안 미세한 균열이 발생하는 경향이 있는 단계진 단면적에서 처럼 예리한 과도 엣지가 존재하지 않기 때문에 유리하다.
가스 출구(265)는 대략 제 1 직경(d1) 또는 제 2 직경(d2) 정도인 평평한 윤곽을 갖는 원형 엣지(412)를 더 포함한다. 원형 엣지(412)로 인해 프로세스 가스는, 울퉁불퉁한 엣지에 의해 발생되는 공기 역학적 장애물 없이, 부드럽게 가스 출구(265) 외부로 흐를 수 있다. 이것은 프로세스 가스가 가스 출구(265) 내부로 또는 외부로 보다 효율적으로 흐를 수 있도록 한다. 대략 제 1 직경(d1) 또는 제 2 직경(d2)인 원형 엣지(412)를 얻기 위하여, 레이저 천공 프로세스 동안 레이저 비임(310)의 비임 크기는 예를 들어 레이저 비임(310) 전방의 구멍 크기를 변경하는것처럼 보다 작은 크기로부터 보다 큰 크기로 조정된다. 유리하게는, 레이저 비임 원형 엣지는 실질적으로 엣지 주변에 미세한 균열이 없다. 종래의 기계적 천공 방법은 구멍에 원형 엣지를 만드는 능력에 있어 제한적이며, 또한 특히 세라믹 재료와 같은 취성 또는 비-연성 재료의 경우에 가공된 엣지 주위에 미세한 균열을 종종 발생시킨다.
챔버 부재(410)에 홈(200)의 패턴 또는 가스 분배기(260)의 가스 출구(265)를 천공하기 위해 레이저 비임을 사용함으로써 기계적 천공에 비해 높은 정밀성 및 작은 직경 가공이 가능하다. 더 나아가, 공구 단부와 구조물(190, 264) 사이에 어떠한 접촉도 없으며 구조물(190, 264)에 버어(burr)도 발생하지 않기 때문에, 레이저 비임 천공기(300)는 보다 오랜 시간 지속적이고 확실하다. 레이저 천공 작업은, 레이저 직경이 용이하게 변경됨으로 해서 위에서 설명된 홈(200) 또는 가스 출구(265)가 다양한 직경을 가질 때 특히 유리하다.
도 1a를 다시 참조하면, 처리 챔버(100)은 챔버(100) 내로의 프로세스 가스의 흐름을 제어하기 위해 하나 이상의 질량 흐름 제어기(도시되지 않음)를 더 포함한다. 가스 배기 장치(270)는 사용된 프로세스 가스와 같은 가스를 챔버(100)로부터 배출시키기 위해 제공된다. 가스 배기 장치(270)는 가스를 수용하는 펌핑 채널(도시되지 않음), 챔버(100) 내의 프로세스 가스의 압력을 제어하기 위한 스로틀 밸브(도시되지 않음), 및 하나 이상의 배기 펌프(도시되지 않음)를 포함할 수 있다. 배기 펌프는 예를 들어, 350 l/s 레이볼트 터보 펌프(Leybold turbo pump)와 같은 터보 펌프 또는 기계적 펌프를 포함할 수 있다. 가스 배기 장치(270)는 또한, 프로세스 가스로부터 바람직하지 않은 가스를 줄이기 위한 장치를 포함할 수 있다.
챔버(100) 내의 압력 및 가스 구성 성분은, 일반적으로 아르곤으로 수 밀리토르의 압력까지 챔버(100)를 백-필링(back-filling)하기 전에 적어도 약 10-7토르까지 챔버(100)의 프로세스 구역(340)을 비움으로써 얻어진다. 이러한 가스 압력에서, 기판(110)은 챔버(100) 내에서 상승될 수 있다. 일 실시예에서, 처리 챔버(100)는 처리 챔버(100) 내의 기판(110)의 높이를 조절하기 위해 작업자에 의해 회전될 수 있는 손잡이(도시되지 않음)를 포함한다.
선택적으로, 또한 처리 챔버(100)는 프로세스 가스를 플라즈마로 활성화시키기 위해 가스 활성 장치(331)를 포함한다. 가스 활성 장치(331)는 처리 챔버의 프로세스 구역(340) 내 또는 처리 챔버(100)(도시되지 않음)로부터 상류 방향의 원거리 영역 내에서 프로세스 가스에 에너지를 가한다. 일 유형에서, 가스 활성 장치(331)는 하나 이상의 유도 코일(360)을 갖춘 안테나(350)를 포함한다. 유도 코일(360)은 처리 챔버(100)의 중심을 기준으로 원형적 대칭을 이룰 수 있다. 통상적으로, 안테나(350)는 프로세스 가스에 가해지는 강한 유도성 플럭스를 제공하도록 위치되고 형상화되는 하나 이상의 솔레노이드를 포함한다. 안테나(350)가 처리 챔버(100)의 천장(140) 근처에 위치될 때, 천장(140)의 인접하는 부분은 RF 전력과 같은 안테나(350)에 의해 방출되는 전자기 방사선에 투과되는 이산화규소와 같은 유전 물질로 제조될 수 있다. 안테나 전력 공급원(370)은 예를 들어, 통상 약 50kHz 내지 약 60 MHz, 보다 통상적으로는 약 400 kHz 및 약 100 내지 5000 와트의 전력 레벨로 안테나에 RF 전력을 공급한다. 또한, RF 매치 네트워크(도시되지 않음)가 RF 전력을 프로세스 가스의 임피던스에 맞추도록 제공될 수 있다. 또 다른 유형에서, 가스 활성 장치(331)는 프로세스 가스를 활성화하기 위해 프로세스 구역(340) 내에서 전기장을 발생시키는 전극(205)을 포함한다. 이러한 유형에서, 전극 전력 공급원(240)은 예를 들어, 약 50 kHz 내지 약 60 MHz의 주파수, 보다 통상적으로는 약 13.56 MHz의 주파수로 전극(205)에 전력을 제공한다. 이와 달리 또는 추가적으로, 가스 활성 장치(331)는 마이크로파 가스 작동기(도시되지 않음)를 포함할 수 있다.
프로세스 챔버(100)는 프로세스 챔버(100) 내에서 기판(110)을 지지하기 위한 기판 지지체(160)를 포함한다. 지지부(160)는 기판 수용면(180)을 갖는 유전층(170)에 의해 도포되는 전극(205)을 포함할 수 있다. 전극 전력 공급원(240)은 가스를 활성화시키기 위해 예를 들어, RF 바이어스 전압과 같은 DC 또는 AC 바이어스 전압을 전극(205)에 제공한다. 전극(205) 아래에는 챔버(100)의 벽(120)으로부터 전극(205)을 전기 절연시키기 위해 석영판과 같은 유전판(191)이 있으며, 이러한 유전판의 일부는 전기적으로 접지 또는 부유(floating)될 수 있거나, 그렇지 않으면 전극(205)에 대해 전기적으로 바이어스될 수 있다. 전기적으로 바이어스된 전극(205)은 기판(110)을 향해 스퍼터 이온(sputter ion)을 가속화 및 활성화시킴으로써 기판(110)의 에칭이 가능하다. 전기적으로 유동되는 벽(120)의 적어도 일부는 바람직하게는 접지되어, 음 전압이 접지되거나 부유되는 챔버 벽(120)에 대하여기판(110) 상에 유지될 수 있다. 선택적으로, 지지부(160)는 또한 기판(110)을 지지부(160)에 정전기적으로 유지할 수 있는 정정기 척(도시되지 않음)을 포함할 수 있으며, 또는 DC 전압이 정전기적 인력을 발생시키기 위해 전극(205)에 가해질 수 있다.
기판 지지체(160)의 전극(205)은 또한, 예를 들어 열 전달 가스 공급원(도시되지 않음)으로부터 상기 면(180)에 연 전달 가스를 공급하기 위해 제공되는 가스 채널(도시되지 않음)과 같은, 상기 전극을 통해 연장되는 하나 이상의 채널(도시되지 않음)을 포함한다. 열 전달 가스, 통상적으로 헬륨은 기판(110)과 지지부(160) 사이의 열 전달을 촉진한다. 다른 채널(도시되지 않음)에 의해 리프트 핀(도시되지 않음)이 리프트 기구(도시되지 않음)에 의한 기판(110)의 장착 또는 장착 해제를 위해 전극(205)을 통해 연장될 수 있다. 처리 챔버(100)는 또한 기판(110)의 처리 및 특성을 변경 또는 개선시키기 위해 프로세스 챔버(100) 내에서 지지부(160)를 하강 또는 상승시키는 지지부 리프팅 기구(162)를 포함한다.
처리 챔버(100)는 예를 들어, 처리 챔버(100)의 작동 동안 계속하여 프로세스 상태를 모니터링하거나 탐지하기 위해 또는 기판(110) 상에 실행되는 프로세스를 모니터링하기 위해 사용되는 하나 이상의 탐지기(도시되지 않음)를 포함하는 프로세스 모니터링 시스템과 같은 추가적인 시스템을 포함할 수 있다. 탐지기는 이에 한정되는 것은 아니지만, 예를 들어, 광전 배증관이나 광학적 탐지 시스템과 같은 방사선 감지 장치(도시되지 않음), 일예로 압력계를 들 수 있는 압력 게이지와 같은 압력 감지 장치(도시되지 않음), 열전쌍이나 저항 온도 센서(RTD)와 같은 온도 감지 센서(도시되지 않음), 챔버 부재(410)에 가해지는 전류 및 전압을 측정하기 위한 전류계 및 전압계(도시되지 않음), 또는 프로세스 챔버(100) 내의 프로세스 상태의 측정 및 측정 가능한 프로세스 상태와 관련하여 변화하는 전기 신호와 같은 출력 신호의 제공이 가능한 소정의 다른 장치를 포함한다. 예를 들어, 프로세스 모니터링 시스템이 기판(110) 상에서 처리되는 층의 두께를 결정하기 위해 사용될 수 있다.
제어기(480)는 다양한 챔버 부재 및 시스템으로부터의 전기 신호 및 상기 부재 및 시스템으로의 전기 신호를 수용하고 전달함으로써 챔버(100)의 작동을 제어한다. 예를 들어, 처리 챔버(100) 내의 프로세스 모니터링 시스템에 의해 측정되는 프로세스 상태가 전기 신호로서 제어기(480)에 전달될 수 있으며, 이로 인해, 신호가 한계값(threshold value)에 도달할 때 프로세스 상태를 변경한다. 일 실시예에서, 제어기(480)는 처리 챔버(100)를 작동하는데 적합한 집적 회로를 가지는 전기 회로 설계를 구비하는 전기적 하드웨어를 포함한다. 일반적으로, 제어기(480)는 데이타 입력의 수용, 알고리즘의 형성, 유용한 출력 신호의 생성하기 위해 적용되고, 또한 탐지기로부터 데이터 신호를 탐지하기 위해서 그리고, 처리 챔버(100)의 프로세스 상태를 제어하거나 모니터링 하기 위해 사용될 수 있다. 예를 들어, 도 7에서 도시된 것처럼, 제어기(480)는 (ⅰ) 주변 제어 부재를 구비한 메모리 시스템에 상호 연결되는 중앙 처리 장치(CPU)(5)를 가지는 컴퓨터, (ⅱ) 처리 챔버(100)의 특정 부재(410)를 작동하는 주문형 집적회로(ASICs)(도시되지 않음), 및 (ⅲ) 적합한 지지 회로를 따르는 제어기 인터페이스(506)를 포함할 수 있다.통상적인 중앙 처리 장치(500)는 파워 피씨(상표)(Power PCTM), 펜티엄(상표)(PentiumTM), 및 그 외 다른 프로세서들을 포함한다. 주문형 집적회로는 데이터 및 처리 챔버(100)로부터의 다른 정보의 검색과 같은 특정 업무 또는 특정 챔버 부재(410)의 작동을 위해 프로그램되고 설계된다. 제어기 인터페이스 보드는 예를 들어, 프로세스 모니터링 시스템으로부터의 신호를 처리하고 데이터 신호를 중앙 처리 장치(500)에 제공하는 것과 같은 특정 신호 처리 업무에 사용된다. 특정 지지 회로는 예를 들어, 코-프로세서(co-processor), 클럭 회로(clock circuit), 캐쉬(cache), 전원 공급원, 및 중앙 처리 장치(500)와 관련된 공지된 부재들을 포함한다. 예를 들어, 중앙 처리 장치(500)는 종종 랜덤 액세스 메모리(RAM)(510), 리드-온리 메모리(도시되지 않음), 플로피 디스크 드라이브(491), 하드 디스크 드라이브(492), 및 당업계에 잘 알려진 다른 저장 장치와 관련된다. 램(510)은 프로세스 실행동안 현재 시스템에 사용되는 컴퓨터 프로그램 코드(600)를 저장하기 위해 사용될 수 있다. 제어기 인터페이스(506)는 제어기(480)를 가스 활성 장치(331)와 같은 다른 챔버 부재에 연결시킨다. 중앙 처리 장치(CPU)(500)의 출력이 디스플레이(530) 또는 다른 연결 장치로 전송된다. 입력 장치(540)에 의해, 작업자가 제어기(480)의 소프트웨어를 변경하기 위해 또는 작업을 제어하기 위해 제어기(480) 내에 데이터를 입력하는 것이 가능하다. 예를 들어, 작업자와 컴퓨터 시스템 사이의 인터페이스는 음극선 관(CRT) 모니터(도시되지 않음) 및 라이트 펜(도시되지 않음)일 수 있다. 라이트 펜은 펜의 끝에 있는 광 센서로 음극선 관 모니터에 의해 방출되는 빛을 탐지한다. 특정 화면 또는 기능을 선택하기 위해, 작업자는 음극선 관 모니터의 표시 영역을 접촉시키고 펜 상의 버튼을 누른다. 접촉된 영역은 색깔이 변경되거나, 새로운 메뉴나 화면이 라이트 펜과 음극선 관 모니터 사이의 교신을 확실히 하기 위해 표시된다. 키보드, 마우스, 또는 지시 교신 장치(pointing communication device)와 같은 다른 장치들 역시 제어기(480)와 교신하기 위해 사용될 수 있다. 일 실시예에서, 2 개의 모니터(도시되지 않음)가 사용되며, 이 중 하나는 작업자용 클린 룸 벽에 장착되고, 다른 하나는 지원 기술자용 벽 뒤에 장착된다. 양 모니터 모두(도시되지 않음) 동시에 동일한 정보를 표시하지만, 하나의 펜만이 사용 가능하다.
비록, 본 발명에 대한 소정의 바람직한 유형에 대하여 상당히 상세하게 설명되고 있지만, 다른 유형들도 가능하다. 예를 들어, 본 발명은 화학 기상 증착(CVD) 처리 챔버 또는 에칭 챔버와 같은 다른 처리 챔버와 함께 사용될 수 있다. 또한, 처리 챔버(100)는 당업자에게 명백한 다른 동등한 구성을 포함할 수 있다. 또 다른 예로서, 처리 챔버(100)의 하나 이상의 부재(410)가 다른 레이저 천공된 미세 구조물을 포함할 수 있다. 따라서, 첨부된 청구항은 본 원에 포함된 바람직한 유형에 대한 설명에 한정되지 않아야 한다.

Claims (34)

  1. 기판 처리 챔버용 부재로서,
    상기 챔버 내에서 적어도 부분적으로 노출되는 표면을 갖는 구조물을 포함하며, 상기 표면이 서로로부터 이격되어 있는 레이저 천공된 리세스 패턴을 가지며, 상기 각각의 리세스가 개구, 측벽, 및 바닥 벽을 가지는,
    기판 처리 챔버용 부재.
  2. 제 1 항에 있어서,
    상기 표면의 실질적으로 모든 부분이 상기 리세스로 덮여 있는,
    기판 처리 챔버용 부재.
  3. 제 1 항에 있어서,
    상기 리세스는 상기 표면에 대해 경사져 있는 측벽을 가지는,
    기판 처리 챔버용 부재.
  4. 제 3 항에 있어서,
    상기 측벽은 상기 표면에 대해 약 60 내지 약 85도의 각도로 경사져 있는,
    기판 처리 챔버용 부재.
  5. 제 1 항에 있어서,
    상기 개구가 제 1 크기를 가지고 상기 바닥 벽이 제 2 크기를 가지며, 상기 제 1 크기가 상기 제 2 크기보다 작은,
    기판 처리 챔버용 부재.
  6. 제 1 항에 있어서,
    상기 구조물은 쉴드인,
    기판 처리 챔버용 부재.
  7. 제 1 항에 따른 부재를 갖춘 기판 처리 챔버로서,
    (a) 기판 지지체와,
    (b) 상기 챔버에 가스를 제공하는 가스 분배기와,
    (c) 상기 가스에 전류를 인가하는 가스 에너자이저, 및
    (d) 상기 챔버로부터 가스를 배출하는 가스 배기구를 더 포함하는,
    기판 처리 챔버.
  8. 기판 처리 챔버용 부재의 제조 방법으로서,
    (a) 챔버 내부에서 거의 부분적으로 노출되는 표면을 갖춘 구조물을 형성하는 단계와,
    (b) 상기 구조물에 리세스를 형성하기 위해 상기 구조물의 일부분을 기화시키도록 상기 구조물 표면에 있는 위치에 펄스형 레이저 비임을 지향시키는 단계, 및
    (c) 상기 구조물 표면에서 서로 이격되어 있는 리세스 패턴을 형성하도록 상기 구조물의 표면에 있는 다른 위치에서 상기 (b)단계를 반복하는 단계를 포함하는,
    기판 처리 챔버용 부재의 제조 방법.
  9. 제 8 항에 있어서,
    상기 (b) 단계는 경사진 측벽을 갖춘 리세스를 형성하도록 상기 구조물의 표면에 펄스형 레이저 비임을 지향시키는 단계를 포함하는,
    기판 처리 챔버용 부재의 제조 방법.
  10. 제 8 항에 있어서,
    상기 (b) 단계는 상기 펄스형 레이저 비임이 상기 구조물의 표면에 대해 약 60 내지 약 85도, 또는 약 95 내지 약 120도의 입사각을 형성하도록 상기 구조물의 표면에 상기 펄스형 레이저 비임을 지향시키는 단계를 포함하는,
    기판 처리 챔버용 부재의 제조 방법.
  11. 제 8 항에 있어서,
    상기 펄스형 레이저 비임은 상기 (b) 단계에서, 상기 구조물 내에서 종결되는 바닥 벽을 갖춘 리세스를 형성하기에 충분히 높은 동력치로 설정되는,
    기판 처리 챔버용 부재의 제조 방법.
  12. 제 8 항에 있어서,
    상기 (b) 단계는 상기 노출된 표면의 실질적으로 모든 부분이 상기 리세스로 덮일 때까지 반복되는,
    기판 처리 챔버용 부재의 제조 방법.
  13. 제 8 항에 있어서,
    상기 (b) 단계는 제 1 크기의 개구 및 제 2 크기의 바닥 벽을 갖춘 리세스를 형성하도록 상기 구조물의 표면에 상기 펄스형 레이저 비임을 지향시키는 단계를 포함하며, 상기 제 1 크기가 상기 제 2 크기보다 작은,
    기판 처리 챔버용 부재의 제조 방법.
  14. 제 8 항의 방법에 따라 제조된 부재로서,
    상기 부재는 기판 처리 챔버용 쉴드로서 적합한 형상을 가지는,
    제 8 항에 따른 부재.
  15. 프로세스 가스를 기판 처리 챔버로 분배하는 프로세스 가스 분배기로서,
    (a) 인클로저와,
    (b) 상기 인클로저에 프로세스 가스를 제공하는 가스 도관, 및
    (c) 상기 프로세스 가스를 상기 기판 처리 챔버로 분배하는, 상기 인클로저 내부의 레이저 천공된 복수의 가스 출구를 포함하며, 상기 가스 출구의 적어도 일부가 상기 인클로저 내부로 제 1 직경을 갖는 제 1 개구 및 상기 기판 처리 챔버 내부로 제 2 직경을 갖는 제 2 개구를 포함하며, 상기 제 2 직경이 상기 제 1 직경보다 작은,
    프로세스 가스 분배기.
  16. 제 15 항에 있어서,
    상기 가스 출구는 실질적으로 연속해서 테이퍼진 횡단면을 포함하는,
    프로세스 가스 분배기.
  17. 제 15 항에 있어서,
    상기 제 1 또는 제 2 개구는 둥근 엣지를 가지는,
    프로세스 가스 분배기.
  18. 제 15 항에 있어서,
    상기 제 2 직경은 상기 챔버 내부에 형성된 플라즈마가 상기 인클로저 내측으로 침입하는 것을 제한하기에 충분하도록 상기 제 1 직경보다 작은,
    프로세스 가스 분배기.
  19. 제 18 항에 있어서,
    상기 제 2 직경은 약 0.3 mm보다 작고 상기 제 1 직경은 적어도 약 1.3 mm인,
    프로세스 가스 분배기.
  20. 제 15 항에 있어서,
    상기 인클로저는 알루미늄, 질화 알루미늄, 산화 알루미늄, 실리콘 카바이드 또는 석영을 포함하는,
    프로세스 가스 분배기.
  21. 제 15 항에 따른 가스 분배기 및 챔버를 갖춘 기판 처리 챔버로서,
    (a) 가스 분배기와 대향하는 기판 지지체와,
    (b) 상기 가스 분배기에 의해 챔버 내측으로 도입되는 가스에 전류를 인가하는 가스 에너자이저, 및
    (c) 상기 챔버로부터 가스를 배출하는 배기구를 포함하는,
    기판 처리 챔버.
  22. 제 15 항에 따른 가스 분배기를 형성하는 방법으로서,
    (a) 상기 인클로저의 적어도 일부분을 형성하는 구조물을 형성하는 단계, 및
    (b) 관통하는 가스 출구를 레이저 천공하도록 상기 구조물의 표면에 펄스형 레이저 비임을 지향시키는 단계를 포함하는,
    가스 분배기의 형성 방법.
  23. 제 22 항에 있어서,
    상기 (b) 단계는 제 1 직경으로부터 제 2 직경으로, 또는 이와는 반대로 상기 펄스형 레이저 비임의 크기를 조절하는 단계를 포함하는,
    가스 분배기의 형성 방법.
  24. 제 22 항에 있어서,
    상기 (b) 단계는 실질적으로 연속해서 테이퍼진 횡단면을 갖는 가스 출구를 형성하도록 상기 펄스형 레이저 비임의 크기를 연속해서 조절하는 단계를 포함하는,
    가스 분배기의 형성 방법.
  25. 제 22 항에 있어서,
    상기 (b) 단계는 상기 가스 출구의 엣지를 둥글게 가공하도록 상기 펄스형 레이저 비임의 크기를 조절하는 단계를 포함하는,
    가스 분배기의 형성 방법.
  26. 프로세스 가스를 기판 처리 챔버 내측으로 분배하는 프로세스 가스 분배기로서,
    (a) 인클로저와,
    (b) 상기 인클로저에 프로세스 가스를 제공하는 가스 도관, 및
    (c) 상기 프로세스 가스를 상기 기판 처리 챔버 내측으로 분배하기 위한 레이저 천공된 복수의 가스 출구를 포함하며, 상기 가스 출구의 적어도 일부는 둥근 엣지를 가지는,
    프로세스 가스 분배기.
  27. 제 26 항에 있어서,
    상기 가스 출구는 상기 인클로저 내부로 제 1 직경을 갖는 제 1 개구 및 상기 기판 처리 챔버 내부로 제 2 직경을 갖는 제 2 개구를 포함하며, 상기 제 2 직경이 상기 제 1 직경 보다 작은,
    프로세스 가스 분배기.
  28. 제 26 항에 있어서,
    상기 가스 출구는 실질적으로 연속해서 테이퍼진 횡단면을 가지는,
    프로세스 가스 분배기.
  29. 제 23 항에 따른 가스 분배기 및 챔버를 갖춘 기판 처리 챔버로서,
    (a) 상기 가스 분배기와 대향하는 기판 지지체와,
    (b) 상기 가스 분배기에 의해 상기 챔버 내측으로 도입되는 가스에 전류를 인가하는 가스 에너자이저, 및
    (c) 상기 챔버로부터 가스를 배출시키는 배기구를 포함하는,
    기판 처리 챔버.
  30. 기판 처리 챔버용 키트로서,
    챔버 내부에서 적어도 부분적으로 노출되는 표면을 갖춘 구조물를 각각 포함하는 복수의 부재를 포함하며, 상기 표면은 서로로부터 이격된 레이저 천공된 리세스 패턴을 가지며, 상기 각각의 리세스는 개구, 측벽, 및 바닥 벽을 가지는,
    기판 처리 챔버용 키트.
  31. 제 30 항에 있어서,
    상기 표면의 실질적으로 모든 부분은 리세스로 덮여 있는,
    기판 처리 챔버용 키트.
  32. 제 30 항에 있어서,
    상기 부재는 쉴드인,
    기판 처리 챔버용 키트.
  33. 제 30 항에 있어서,
    상기 부재는 증착 링, 커버 링, 상부 가스 쉴드, 및 하부 가스 쉴드를 포함하는,
    기판 처리 챔버용 키트.
  34. 기판 처리 챔버용 키트로서,
    증착 링, 커버 링, 상부 가스 쉴드, 및 하부 가스 쉴드를 포함하는 복수의 부재를 포함하며, 상기 각각의 부재는 상기 챔버 내부에서 적어도 부분적으로 노출되는 표면을 갖춘 구조물을 포함하며, 상기 표면의 실질적으로 모든 부분은 서로로부터 이격된 레이저 천공된 리세스 패턴으로 덮여 있으며, 상기 리세스는 개구, 측벽, 및 바닥 벽을 가지는,
    기판 처리 챔버용 키트.
KR10-2004-7016131A 2002-04-08 2003-04-04 기판 처리 챔버용 레이저 천공 표면 KR20050014803A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/119,382 US20030188685A1 (en) 2002-04-08 2002-04-08 Laser drilled surfaces for substrate processing chambers
US10/119,382 2002-04-08
PCT/US2003/010786 WO2003087427A2 (en) 2002-04-08 2003-04-04 Laser drilled surfaces for substrate processing chambers

Publications (1)

Publication Number Publication Date
KR20050014803A true KR20050014803A (ko) 2005-02-07

Family

ID=28674579

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7016131A KR20050014803A (ko) 2002-04-08 2003-04-04 기판 처리 챔버용 레이저 천공 표면

Country Status (7)

Country Link
US (1) US20030188685A1 (ko)
JP (1) JP2006505687A (ko)
KR (1) KR20050014803A (ko)
CN (1) CN100529172C (ko)
MY (1) MY137727A (ko)
TW (1) TWI270934B (ko)
WO (1) WO2003087427A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100916005B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR100916006B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR101410921B1 (ko) * 2006-05-30 2014-07-02 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버를 위한 링 조립체
KR20160023563A (ko) * 2014-08-21 2016-03-03 에이에스엠 아이피 홀딩 비.브이. 기상 화합물의 인시츄 형성을 위한 방법 및 시스템

Families Citing this family (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
KR100673597B1 (ko) * 2004-09-21 2007-01-24 (주)아이씨디 플라즈마 챔버
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070040501A1 (en) * 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
DE202006016155U1 (de) * 2006-10-21 2006-12-21 Mühlbauer Ag Vorrichtung zum Anordnen von Durchgangsöffnungen in einem fortbewegbaren Band
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7982161B2 (en) * 2008-03-24 2011-07-19 Electro Scientific Industries, Inc. Method and apparatus for laser drilling holes with tailored laser pulses
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
JP5970539B2 (ja) * 2012-03-29 2016-08-17 京セラ株式会社 環状部材およびそれを用いた成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102922142A (zh) * 2012-10-30 2013-02-13 张立国 一种激光加工的方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9101954B2 (en) * 2013-09-17 2015-08-11 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104133262A (zh) * 2014-07-16 2014-11-05 深圳市华星光电技术有限公司 滤色板、滤色板制作方法及液晶显示器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016183052A1 (en) * 2015-05-11 2016-11-17 Westinghouse Electric Company Llc Delivery device usable in laser peening operation, and associated method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10434604B2 (en) 2016-10-14 2019-10-08 Applied Materials, Inc. Texturizing a surface without bead blasting
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6949421B2 (ja) * 2017-05-09 2021-10-13 株式会社ディスコ 加工方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109457224B (zh) * 2017-09-06 2021-06-15 台湾积体电路制造股份有限公司 制程零件、半导体制造设备及半导体制造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11123837B2 (en) 2017-12-22 2021-09-21 Applied Materials, Inc. Method of removal of sharp corners from diffuser plate
CN107953031A (zh) * 2017-12-26 2018-04-24 武汉力博物探有限公司 一种激光切割头
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111801624A (zh) * 2018-04-17 2020-10-20 应用材料公司 将表面纹理化而不使用喷砂
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
TWI815945B (zh) * 2018-08-10 2023-09-21 美商應用材料股份有限公司 多陰極沉積系統
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109128532B (zh) * 2018-09-27 2020-07-28 广东工业大学 一种多工位即时清洗的激光阵列微孔加工方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11119405B2 (en) * 2018-10-12 2021-09-14 Applied Materials, Inc. Techniques for forming angled structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US20210310122A1 (en) * 2020-04-03 2021-10-07 Applied Materials, Inc. Method of forming holes from both sides of substrate
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11819948B2 (en) * 2020-10-14 2023-11-21 Applied Materials, Inc. Methods to fabricate chamber component holes using laser drilling
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
CN114496690A (zh) * 2020-10-27 2022-05-13 中微半导体设备(上海)股份有限公司 耐等离子体半导体零部件和形成方法、等离子体反应装置
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US20220199373A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Methods to eliminate of deposition on wafer bevel and backside
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4412133A (en) * 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) * 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US4665462A (en) * 1985-06-17 1987-05-12 The Simco Company, Inc. Ionizing gas gun for balanced static elimination
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US5324653A (en) * 1988-02-11 1994-06-28 Gist-Brocades N.V. Recombinant genetic means for the production of serine protease muteins
US5260156A (en) * 1988-04-26 1993-11-09 Ricoh Company, Ltd. 1,3-pentadiene derivatives and electrophotographic photoconductor using the same
JP2665242B2 (ja) * 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
JP2779950B2 (ja) * 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) * 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5178266A (en) * 1991-12-18 1993-01-12 Villa Donald J Carrier for beverage cans that breaks apart upon can removal
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5684669A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) * 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5948288A (en) * 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5812362A (en) * 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6104834A (en) * 1996-08-01 2000-08-15 Ricoh Company Limited Matching CCITT compressed document images
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
EP0954620A4 (en) * 1997-01-16 2002-01-02 Bottomfield Layne F COMPONENTS FOR VACUUM EVAPORATION METALLIZATION AND RELATED METHODS
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
JPH11158628A (ja) * 1997-12-03 1999-06-15 Asuzakku Kk 成膜及び触刻装置用セラミック素材
FR2781707B1 (fr) * 1998-07-30 2000-09-08 Snecma Procede d'usinage par laser excimere de trous ou de formes a profil variable
US6171453B1 (en) * 1998-12-02 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd Alignment mark shielding ring and method of using
JP4141560B2 (ja) * 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
KR20010014842A (ko) * 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6699375B1 (en) * 2000-06-29 2004-03-02 Applied Materials, Inc. Method of extending process kit consumable recycling life
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101410921B1 (ko) * 2006-05-30 2014-07-02 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버를 위한 링 조립체
KR100916005B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR100916006B1 (ko) * 2007-07-09 2009-09-10 한서에이치케이(주) 플라즈마 에칭 장치
KR20160023563A (ko) * 2014-08-21 2016-03-03 에이에스엠 아이피 홀딩 비.브이. 기상 화합물의 인시츄 형성을 위한 방법 및 시스템

Also Published As

Publication number Publication date
TW200305941A (en) 2003-11-01
US20030188685A1 (en) 2003-10-09
MY137727A (en) 2009-03-31
WO2003087427A2 (en) 2003-10-23
TWI270934B (en) 2007-01-11
CN100529172C (zh) 2009-08-19
CN1653207A (zh) 2005-08-10
JP2006505687A (ja) 2006-02-16
WO2003087427A3 (en) 2004-04-01

Similar Documents

Publication Publication Date Title
KR20050014803A (ko) 기판 처리 챔버용 레이저 천공 표면
KR100607790B1 (ko) 텍스처링된 내부 표면을 구비한 처리 챔버 부품 및 이의 제조 방법
JP5593490B2 (ja) プラズマエッチング反応器及びその構成部品並びに半導体基板を処理する方法
US5647912A (en) Plasma processing apparatus
US8142989B2 (en) Textured chamber surface
US6933508B2 (en) Method of surface texturizing
US7026009B2 (en) Evaluation of chamber components having textured coatings
JP4588885B2 (ja) プラズマ処理システム及びプラズマ処理方法並びにガス注入器
KR100436950B1 (ko) 저압 스퍼터링 방법 및 장치
JP3122601B2 (ja) プラズマ成膜方法及びその装置
EP0884760A2 (en) Electron-beam excited plasma generator
KR100550931B1 (ko) 진공처리챔버 및 표면처리방법
KR100598631B1 (ko) 임피던스가 감소된 챔버
EP0688037B1 (en) Microwave plasma processing system
KR20020027375A (ko) 반도체 처리용 가스 분배 장치
JP2002246368A (ja) ウェハー表面径方向均一プラズマを用いるウェハー処理システム
JP7382848B2 (ja) 基板処理方法および基板処理装置
JPH10335308A (ja) プラズマ処理方法
JPH10135190A (ja) 半導体処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application