CN100373557C - 蚀刻量检测方法、蚀刻方法和蚀刻装置 - Google Patents

蚀刻量检测方法、蚀刻方法和蚀刻装置 Download PDF

Info

Publication number
CN100373557C
CN100373557C CNB2004100429615A CN200410042961A CN100373557C CN 100373557 C CN100373557 C CN 100373557C CN B2004100429615 A CNB2004100429615 A CN B2004100429615A CN 200410042961 A CN200410042961 A CN 200410042961A CN 100373557 C CN100373557 C CN 100373557C
Authority
CN
China
Prior art keywords
light
mask layer
etched
wavelength
etch quantity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100429615A
Other languages
English (en)
Other versions
CN1574243A (zh
Inventor
野泽秀二
西牧克洋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1574243A publication Critical patent/CN1574243A/zh
Application granted granted Critical
Publication of CN100373557C publication Critical patent/CN100373557C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种蚀刻量检测方法、蚀刻方法和蚀刻装置,能够与掩模层的种类无关地正确检测被蚀刻层的蚀刻量。光La的一部分在光刻胶掩模层(316)的上面反射,得到反射光La1。光La的另一部分在孔H的底面反射,得到反射光La2。反射光La1与反射光La2相互干涉重合,产生干涉光Lai。光Lb的一部分在光刻胶掩模层(316)与多晶硅膜(304)的界面反射,得到反射光Lb1。光Lb的另一部分在光刻胶掩模层(316)的上面反射,得到反射光Lb2。反射光Lb1与反射光Lb2相互干涉重合,产生干涉光Lbi。使用干涉光Lai与干涉光Lbi,算出多晶硅膜(304)的蚀刻量。

Description

蚀刻量检测方法、蚀刻方法和蚀刻装置
技术领域
本发明涉及一种蚀刻量检测方法、蚀刻方法和蚀刻装置。
背景技术
使用等离子体的蚀刻处理以前被广泛应用于半导体制造工序或LCD基板制造工序中。用于该蚀刻处理中的蚀刻装置例如配备彼此平行配置在处理室内的上部电极与下部电极。另外,在下部电极上装载半导体晶片等被处理体,通过上部电极与下部电极间的放电,使蚀刻用气体产生等离子体,使用布图成规定形状的掩模层,蚀刻被蚀刻层。
作为监视这种被蚀刻层的蚀刻状态的方法之一,提出发光分光分析法。这是在通过蚀刻产生的气体的发光谱频中、将特定波长变化的时刻作为蚀刻终点来检测的方法,无法知道被蚀刻层的蚀刻深度(蚀刻量)。
另外,例如在专利文献1中,公开了可检测蚀刻量的蚀刻装置。根据该蚀刻装置,从处理室外侧的光源射出规定波长的光,该光经设置在上部电极中的观测用窗,照射到被处理体上。该照射光的一部分在掩模层与被蚀刻层的界面发生反射,另一部分在被蚀刻层的被蚀刻部(蚀刻孔或蚀刻沟)的底面发生反射。从这两个反射光产生的干涉光(干涉波)由检测器来检测,进行光电变换。并且,进行光电变换后的信号在放大、数字化后,由波形解析部件进行频率解析。之后,根据这些信号处理的结果得到的干涉波的频率分布,算出蚀刻量。
专利文献1:特开平2-71517号公报
但是,在上述专利文献1记载的技术中,有时由于掩模层的种类不同而无法正确检测蚀刻量。例如,在掩模层对从光源射出的光的波长具有大的吸收系数的情况下,从光源射出的光不透过掩模层,得不到来自掩模层与被蚀刻层的界面的反射光。若得不到来自该界面的反射光,则难以算出被蚀刻层的蚀刻量。
在得不到来自掩模层与被蚀刻层的界面的反射光的情况下,也可用来自掩模上面的反射光代替来自该界面的反射光,检测该反射光与来自被蚀刻层的被蚀刻部的底面的反射光的干涉波。但是,此时必需选定掩模层的构成材料,以使掩模层与被蚀刻层的蚀刻选择比变得极大。若被蚀刻层进行蚀刻时,掩模层也被蚀刻,则应作为基准面的掩模层的上面高度比蚀刻开始时低,不能正确算出被蚀刻层的蚀刻量。
发明内容
本发明鉴于上述问题作出,其目的在于提供一种新的改良后的蚀刻量检测方法、蚀刻方法和蚀刻装置,可与掩模层的种类无关地正确检测被蚀刻层的蚀刻量。
为了解决上述问题,根据本发明的第1方面,提供一种蚀刻量检测方法,在将布图后的掩模层作为掩模,对被处理体上的被蚀刻层实施蚀刻处理时,检测被蚀刻层的蚀刻量。另外,该蚀刻量检测方法的特征在于,具有如下工序:向被处理体照射具有第1波长的第1光,同时,照射具有与第1波长不同的第2波长的光;检测第1光在掩模层表面反射得到的第1反射光、与第1光在被蚀刻层中被蚀刻的被蚀刻部的底面反射得到的第2反射光干涉后产生的第1干涉光;检测第2光在掩模层表面反射得到的第3反射光、与第2光在掩模层与被蚀刻层的界面反射得到的第4反射光干涉后产生的第2干涉光;和根据第1干涉光与第2干涉光,算出被蚀刻部的蚀刻量。
另外,为了解决上述问题,根据本发明的第2方面,提供一种蚀刻方法,将布图后的掩模层作为掩模,蚀刻被处理体上的被蚀刻层。另外,该蚀刻方法的特征在于,具有如下工序:向被处理体照射具有第1波长的第1光,同时,照射具有与第1波长不同的第2波长的光;检测第1光在掩模层表面反射得到的第1反射光、与第1光在被蚀刻层中被蚀刻的被蚀刻部的底面反射得到的第2反射光干涉后产生的第1干涉光;检测第2光在掩模层表面反射得到的第3反射光、与第2光在掩模层与被蚀刻层的界面反射得到的第4反射光干涉后产生的第2干涉光;和根据第1干涉光与第2干涉光,算出被蚀刻部的蚀刻量。
根据该蚀刻量检测方法和蚀刻方法,可对应于掩模层的种类来分别调整第1光的波长(第1波长)与第2光的波长(第2波长),正确检测第1干涉光与第2干涉光。结果,可与掩模层的种类无关地高精度算出被蚀刻层的蚀刻量。
另外,根据第1干涉光与第2干涉光,算出被蚀刻部的蚀刻量的工序优选包含如下工序:根据第1干涉光,算出掩模层的表面与被蚀刻部的底面的高低差;根据第2干涉光,算出掩模层的蚀刻量;和将掩模层的蚀刻量与掩模层的表面与被蚀刻部的底面的高低差相加。根据该方法,容易算出被蚀刻层的蚀刻量。
另外,为了解决上述问题,根据本发明的第3方面,提供一种蚀刻装置,将布图后的掩模层作为掩模,对被处理体上的被蚀刻层实施蚀刻处理。另外,该蚀刻装置具备光源、光检测部和运算部。其中,光源向被处理体照射具有第1波长的第1光,同时,照射具有与第1波长不同的第2波长的光。光检测部检测第1光在掩模层表面反射得到的第1反射光、与第1光在被蚀刻层中被蚀刻的被蚀刻部的底面反射得到的第2反射光干涉后产生的第1干涉光,并且,检测第2光在掩模层表面反射得到的第3反射光、与第2光在掩模层与被蚀刻层的界面反射得到的第4反射光干涉后产生的第2干涉光。运算部根据第1干涉光与第2干涉光,算出被蚀刻部的蚀刻量。
根据该蚀刻量装置,光源对应于掩模层的种类来分别调整第1光的波长(第1波长)与第2光的波长(第2波长),并照射被处理体。因此,光检测部可正确检测第1干涉光与第2干涉光。之后,运算部可以高精度算出被蚀刻部的蚀刻量。
另外,运算部优选根据第1干涉光,算出掩模层的表面与所述被蚀刻部的底面的高低差,根据第2干涉光,算出掩模层的蚀刻量,再将掩模层的蚀刻量与掩模层的表面与被蚀刻部的底面的高低差相加,由此算出被蚀刻部的蚀刻量。通过该结构,容易算出被蚀刻层的蚀刻量。
在掩模层由感光性材料构成的情况下,优选设定成第1波长比感光性材料的感光波长短,第2波长比感光性材料的感光波长长。从而,可根据第1干涉光,高精度算出掩模层的表面与所述被蚀刻部的底面的高低差。另外,可使第2光透过掩模层,在掩模层与被蚀刻层的界面反射。
被蚀刻层优选由含硅膜构成。
另外,在本说明书中,设1mTorr为(10-3×101325/760)Pa,1sccm为(10-6/60)m3/sec。
附图说明
图1是根据本发明实施方式的蚀刻装置的示意结构图。
图2是表示图1的蚀刻装置配备的蚀刻量检测装置的结构例的框图。
图3是表示具有适用于该实施方式的硬掩模层的晶片膜结构的示意截面图。
图4是表示具有适用于该实施方式的光刻胶掩模层的晶片膜结构的示意截面图。
图5是表示蚀刻图3的晶片的多晶硅膜时的光的反射状态的说明图。
图6是表示蚀刻图4的晶片的多晶硅膜时的光的反射状态的说明图。
图7是表示蚀刻图4的晶片的多晶硅膜时的蚀刻时间与蚀刻量的关系图。
具体实施方式
下面,参照附图来详细说明根据本发明的蚀刻量检测方法、蚀刻方法和蚀刻装置优选实施方式。另外,在本说明书和附图中,向实质具有相同功能结构的结构元件附加相同符号,省略重复说明。
(蚀刻装置)
图1中示出平行平板型等离子体蚀刻装置101的示意结构,作为根据本实施方式的蚀刻装置的一例。
蚀刻装置101具有例如由表面被阳极氧化处理(氧化铝膜处理)后的铝构成的成形为圆筒形状的容器(处理容器)102,将该容器102接地。在容器102内的底部,经陶瓷等绝缘板103,设置用于装载晶片W的大致圆柱状的基座支撑台104。在该基座支撑台104上,设置构成下部电极的基座105。在该基座105上连接高通滤波器(HPF)106。
在基座支撑台104的内部,设置温度调节媒体室107。另外,经导入管108向温度调节媒体室107中导入温度调节媒体,循环,从排出管109排出。通过这种温度调节媒体的循环,可将基座105控制到期望的温度。
基座105的上侧中央部成形为凸状的圆板状,在其上设置与晶片W大致同形状的静电卡盘111。静电卡盘111构成为将电极112夹在绝缘材料之间。静电卡盘111从连接于电极112上的直流电源113施加例如1.5kV的直流电压。从而,晶片W被静电吸附在静电卡盘111上。
另外,在绝缘板103、基座支撑台104、基座105和静电卡盘111中形成向作为被处理体的晶片W的背面提供导热媒体(例如He气体等惰性气体)用的气体通路114。经该导热媒体来进行基座105与晶片W之间的导热,将晶片W维持在规定的温度。
在基座105的上端边缘部配置环状的聚焦环115,以包围装载在静电卡盘111上的晶片W。该聚焦环115由陶瓷或石英等绝缘性材料或导电性材料构成。通过配置聚焦环115,提高蚀刻的均匀性。
另外,在基座105的上面,与该基座105平行相对地设置上部电极121。该上部电极121经绝缘材料122,支撑在容器102的内部。上部电极121由构成与基座105的相对面并具有多个喷出孔123的电极板124、和支撑该电极板124的电极支撑体125构成。电极板124例如由石英构成,电极支撑体125例如由表面被氧化铝膜处理后的铝等导电性材料构成。另外,设基座105与上部电极121的间隔可调。
在上部电极121的电极支撑体125的中央设置气体导入口 126。在该气体导入口126上连接气体供给管127。并且,在该气体供给管127上经阀门128和质量流量控制器129连接处理气体供给源130。
从该处理气体供给源130供给等离子体蚀刻用的蚀刻气体。另外,图1中,仅示出1个由气体供给管127、阀门128、质量流量控制器129和处理气体供给源130等构成的处理气体供给系统,但蚀刻装置101具备多个处理气体供给系统。例如,CF4、O2、N2、CHF3等蚀刻气体被分别独立控制流量,提供到容器102内。
在容器102的底部连接排气管131,在排气管131上连接排气装置135。排气装置135具备涡流分子泵等真空泵,将容器102内调整到规定的减压环境(例如0.67Pa以下)。另外,在容器102的侧壁上设置门阀13。通过打开该门阀132,可将晶片W搬入容器102内,和从容器102内搬出晶片W。另外,在晶片W的搬运中例如使用晶片盒。
在上部电极121上连接第1高频电源140,在其供电线上插入第1匹配器141。另外,在上部电极121上连接低通滤波器(LPF)142。该第1高频电源140可输出具有50-150MHz范围的频率的功率。这样,通过向上部电极121施加高频的功率,可在容器102内形成优选的离解状态且高密度的等离子体。与以前相比,可进行低压条件下的等离子体处理。第1高频电源140的输出功率的频率优选为50-80MHz,典型地调整到图示的60MHz或其附近的频率。
在作为下部电极的基座105上连接第2高频电源150,在其供电线上插入第2匹配器151。该第2高频电源150可输出具有数百kHz-十几MHz范围的频率的功率。通过向基座105施加这种范围的频率的功率,可不对作为被处理体的晶片W造成损害地提供适当的离子作用。第2高频电源150的输出功率的频率典型地调整到图示的2MHz或13.56MHz等。
(蚀刻量检测装置)
根据本实施方式的蚀刻装置100如图2所示,具备蚀刻量检测装置200。该蚀刻量检测装置200由聚光透镜202、光纤204、光源206、多色仪(光检测部)208和运算部210构成。光源206例如由氙气灯、钨丝灯、各种激光器或其组合构成,可射出1种光La或至少波长不同的两种光La、Lb。
在上部电极121上设置筒状观察部160。在该观察部160的上端设置例如由石英玻璃构成的窗部162。观察部160通过相对窗部162设置的聚光透镜202和光纤204,光学连接于光源206和多色仪208上。
从光源206射出的光La、Lb经由光纤204,经观察部160照射到晶片W上。若光La在晶片W的有高低差的多个部位反射,则这些多个反射光(例如反射光La1、La2)相互干涉重合,产生干涉光Lai。同样,若光Lb在晶片W的有高低差的多个部位反射,则这些多个反射光(例如反射光Lb1、Lb2)相互干涉重合,产生干涉光Lbi。各干涉光Lai、Lbi经由光纤204到达多色仪208,在这里被检测。
运算部210根据多色仪208中检测到的各干涉光Lai、Lbi的光强度变化,算出晶片W的被蚀刻层的蚀刻量。控制部220按照运算部210的运算结果,控制第1高频电源140、第1匹配器141、第2高频电源150和第2匹配器151。从而,可调整被蚀刻层的蚀刻速度,并停止蚀刻。后面详细描述蚀刻量检测装置200的动作。
(晶片的膜结构)
下面,参照图3和图4来说明应用根据本实施方式的蚀刻量检测方法的晶片的膜结构的具体例。
图3所示的膜结构与图4所示的膜结构的不同点在于形成于作为被蚀刻层的多晶硅膜304上的掩模。即,图3所示的膜结构具有由氧化硅原料(SiO2)或氮化硅原料(Si3N4)构成的掩模层306,图4所示的膜结构具有由光刻胶材料(感光性材料)构成的掩模层316。
图3所示的具有由氧化硅原料(SiO2)或氮化硅原料(Si3N4)构成的掩模层306的膜结构如下形成。
首先,在例如直径200mm的硅基板300的上面形成栅极氧化膜(例如SiO2膜)302。
接着,在栅极氧化膜302的整个上面形成作为被蚀刻层的多晶硅膜304。
之后,使氧化硅原料(SiO2)或氮化硅原料(Si3N4)堆积在多晶硅膜304上,并在其上涂布光刻胶材料,形成光刻胶膜(未图示)。
然后,使用光刻法,将光刻胶膜布图为规定形状,形成光刻胶掩模(未图示)。之后,使用该光刻胶掩模选择地蚀刻去除多晶硅膜304上的氧化硅原料(SiO2)或氮化硅原料(Si3N4),形成掩模层306。由该氧化硅原料(SiO2)或氮化硅原料(Si3N4)构成的掩模层306在与多晶硅膜304之间得到高的蚀刻选择性。下面,将该掩模层称为[硬掩模层]306。
经过以上工序,得到具有图3所示膜结构的晶片。相反,图4所示的具有由光刻胶材料构成的掩模层316的膜结构如下形成。
首先,在例如直径200mm的硅基板300的上面形成栅极氧化膜(例如SiO2膜)302。
接着,在栅极氧化膜302的整个上面形成作为被蚀刻层的多晶硅膜304。
之后,在多晶硅膜304上涂布光刻胶材料,形成光刻胶膜。
然后,使用光刻法,将光刻胶膜布图为规定形状,形成掩模层316。下面,将该掩模层称为[光刻胶掩模层]316。
经过以上工序,得到具有图4所示膜结构的晶片。
根据本实施方式,对图3和图4所示掩模层种类不同的任一晶片都可进行蚀刻处理,另外,可检测蚀刻处理中的蚀刻量。其中,本实施方式的主要特征在于对具有图4所示的光刻胶掩模层316的晶片的蚀刻处理中的蚀刻量检测动作及与该动作关联的装置结构。为了使该特征更明确,首先说明对具有图3所示的硬掩模层306的晶片的蚀刻处理动作和并行进行的蚀刻量检测动作。之后,通过以与其相比较的形式来说明对具有图4所示的光刻胶掩模层316的晶片的蚀刻处理动作和并行进行的蚀刻量检测动作。
(掩模层为硬掩模层时的蚀刻处理)
首先,对图3所示的晶片,进行使用至少含有CF4与O2的混合气体来去除多晶硅膜304的露出面的自然氧化膜的蚀刻处理(穿透蚀刻工序)。
作为进行该穿透蚀刻时的条件,例如将容器102内的压力设为10mTorr,将上部电极121与基座105的间隔设为140mm,将CF4/O2的气体流量比(CF4的气体流量/O2的气体流量)设为134sccm/26sccm。另外,将为了吸附晶片而施加于静电卡盘110上的电压设为2.5kV,将晶片W的背面冷却气体压力无论中心还是边缘都设为3mTorr。另外,就容器102内的设定温度而言,将下部电极设为75度,将上部电极设为80度,将侧壁部设为60度。
在穿透蚀刻工序中,分别向基座105与上部电极121施加高的高频功率。例如,设施加于上部电极121的高频功率为650W,施加于基座105上的高频功率为220W。从而,去除多晶硅膜304的露出面的自然氧化膜。
接着,对硬掩模层306(掩模图形)的开口部进行向深度方向蚀刻多晶硅膜304的主蚀刻工序。
在该主蚀刻工序中,将至少包含HBr与O2的混合气体用作处理气体,在掩模图形的开口部,沿深度方向蚀刻多晶硅膜304。将多晶硅膜304例如蚀刻到原来膜厚的85%的深度。
作为进行主蚀刻时的条件,例如将容器102内的压力设为20mTorr,将上部电极121与基座105的间隔设为140mm,将HBr/O2的气体流量比(HBr的气体流量/O2的气体流量)设为400sccm/1sccm。另外,将为了吸附晶片而施加于静电卡盘110上的电压设为2.5kV,将晶片W的背面冷却气体压力无论中心还是边缘都设为3mTorr。另外,就容器102内的设定温度而言,将下部电极设为75度,将上部电极设为80度,将侧壁部设为60度。
在主蚀刻工序中,分别向基座105与上部电极121施加较高的高频功率。例如,设施加于上部电极121的高频功率为200W,施加于基座105上的高频功率为100W。从而,如图5(A)所示,选择地蚀刻去除位于硬掩模层306的开口部的多晶硅膜304,在多晶硅膜304中形成孔H。若进一步进行蚀刻处理,则如图5(B)所示,孔H变深。
根据本实施方式的蚀刻装置100为了检测使用硬掩模层306的蚀刻处理中的多晶硅膜304的蚀刻量、即孔H的深度尺寸,如下进行动作。
属于蚀刻量检测装置200的光源206射出光La(参照图2)。光La经由光纤204、聚光透镜202和观察部160照射到晶片W上。
如图5所示,光La的一部分透过硬掩模层306,到达硬掩模层306与多晶硅膜304的界面,并在这里进行反射。该反射光La11透过硬掩模层306后向外部射出。
另外,光La的另一部分在作为多晶硅膜304的被蚀刻部的孔H的底面反射。该反射光La12与上述反射光La11相互干涉重合,产生干涉光。
由反射光La11与反射光La12得到的干涉光经由观察部160、聚光透镜202和光纤204,由多色仪208检测。
这样,若如图5(A)与图5(B)所示孔H变深,则由多色仪208检测到的干涉光的光强度随之周期增减。运算部210根据干涉光的光强度变化,实时算出多晶硅膜304的蚀刻量(孔H的深度)。控制部220按照该运算结果,控制第1高频电源140、第1匹配器141、第2高频电源150和第2匹配器151。另外,运算部210的运算结果,在判断为孔H的底部达到规定深度的时刻,结束对多晶硅膜304的蚀刻处理。另外,也可根据运算部210的运算结果,调整多晶硅膜304的蚀刻速度。
根据该蚀刻量的检测方法,以硬掩模层306与多晶硅膜304的界面为基准,检测孔H的深度。硬掩模层306与多晶硅膜304的界面不受蚀刻的影响,其绝对高度恒定。因此,即使蚀刻前硬掩模层306膜厚有差异,或如图5(B)所示,随着对多晶硅膜304的蚀刻处理,消蚀硬掩模层306的上面(消蚀量D11),也可正确检测孔H的深度。
但是,多晶硅膜304的蚀刻中根据反射光La11与反射光La12得到的干涉光的强度变化周期依赖于从光源206射出的光La的波长。在光La的波长长的情况下,干涉光的强度变化周期变缓慢,结果,不能高精度算出孔H的深度。因此,在本实施方式中,将光La的波长设定为较短的261nm。因此,可较严密地控制形成孔H的蚀刻处理。
这样,为了检测蚀刻量,优选使用短波长的光La,但有时因形成于作为被蚀刻层的多晶硅膜304上的掩模层的种类不同而限制光La的波长。代表例是由光刻胶材料构成的掩模层。
如图5所示,在具有由氧化硅原料(SiO2)或氮化硅原料(Si3N4)构成的硬掩模层306的晶片的情况下,波长261nm的光La透过硬掩模层306,到达硬掩模层306与多晶硅膜304的界面。相反,在具有由光刻胶材料构成的光刻胶掩模层316的晶片的情况下,波长261nm的光La不会透过光刻胶掩模层316。这是因为光刻胶材料通常在包含波长261nm的波长区域中具有大的吸收系数。
因此,根据本实施方式的蚀刻装置100在对具有光刻胶掩模层316的晶片进行蚀刻处理的情况下,通过与在对上述具有硬掩模层306的晶片进行蚀刻处理的情况不同的方法,检测蚀刻量。下面,说明图4所示具有光刻胶掩模层316的晶片的蚀刻处理动作和并行进行的蚀刻量检测动作。
(掩模层为光刻胶掩模层时的蚀刻处理)
具有光刻胶掩模层316的晶片通过与上述对具有硬掩模层306的晶片的蚀刻处理条件同样的条件,实施穿透蚀刻处理和主蚀刻处理。从而,去除多晶硅膜304的露出面的自然氧化膜,如图6(A)所示,选择地蚀刻去除位于光刻胶掩模层316的开口部的多晶硅膜304,在多晶硅膜304中形成孔H。若进一步进行蚀刻处理,则如图6(B)所示,孔H变深。
根据本实施方式的蚀刻装置100为了检测使用光刻胶掩模层316的蚀刻处理中的多晶硅膜304的蚀刻量、即孔H的深度尺寸,如下进行动作。
属于蚀刻量检测装置200的光源206射出光La(第1光)和光Lb(第2光)(参照图2)。光La与光Lb分别具有不同的波长。在本实施方式中,光La的波长为261nm,光Lb的波长为387nm。这些光La和光Lb经由光纤204、聚光透镜202和观察部160照射到晶片W上。
如图6所示,光La的一部分在光刻胶掩模层316的上面反射,得到反射光La1(第1反射光)。另外,光La的另一部分在作为多晶硅膜304的被蚀刻部的孔H的底面反射,得到反射光La2(第2反射光)。反射光La1与反射光La2相互干涉重合,产生干涉光Lai(第1干涉光)。另外,在本实施方式中,光刻胶掩模层316的光吸收带中包含光La的波长261nm。因此,光La不透过光刻胶掩模层316,得不到光刻胶掩模层316与多晶硅膜304的界面上的光La的反射光。
另一方面,光Lb具有比光La的波长261nm长的波长387nm。因此,其一部分透过光刻胶掩模层316,到达光刻胶掩模层316与多晶硅膜304的界面,并在这里反射。该反射光Lb1(第3反射光)透过光刻胶掩模层316后射出到外部。
另外,光Lb的另一部分在光刻胶掩模层316的上面反射。该反射光Lb2(第4反射光)与上述反射光Lb1相互干涉重合,产生干涉光Lbi(第2干涉光)。
从反射光La1与反射光La2得到的干涉光Lai、与从反射光Lb1与反射光Lb2得到的干涉光Lbi经由观察部160、聚光透镜202和光纤204,由多色仪208检测。
这样,若如图6(A)与图6(B)所示孔H变深,则由多色仪208检测到的干涉光Lai的光强度随之周期增减。运算部210根据干涉光Lai的光强度变化,实时算出多晶硅膜304的蚀刻量(孔H的深度)。图7中示出运算部210根据干涉光Lai的光强度变化,算出多晶硅膜304的蚀刻量D2的结果。
根据基于干涉光Lai的光强度变化的运算结果,在本实施方式中,可把握从时刻T0开始对多晶硅膜304进行蚀刻,在时刻T1,该蚀刻量可达到5.17微米。但是,由于以下理由,不能从仅基于干涉光Lai的运算结果得到多晶硅膜304的真的蚀刻量。
干涉光Lai是从光刻胶掩模层316上面的反射光La1与孔H的底面的反射光La2得到的。因此,为了算出孔H的底部的绝对高度,条件是光刻胶掩模层316的上面高度不变。
但是,在多晶硅膜304中形成孔H用的蚀刻气体中,由于光刻胶掩模层316的表面也被消蚀,所以不能避免光刻胶掩模层316被蚀刻。但是,当光刻胶掩模层316的蚀刻速率比上述硬掩模层306大、多晶硅膜304的孔H的底面到达深度D2时,光刻胶掩模层316的上面也会被较大消蚀(消蚀量D1)。即,在仅根据干涉光Lai的光强度变化计算的结果中包含该光刻胶掩模层316的上面的消蚀量D1。
因此,在本实施方式中,为了求出多晶硅膜304的蚀刻量,除干涉光Lai的光强度变化外,还考虑干涉光Lbi的光强度变化。
如图6(A)和图6(B)所示,若光刻胶掩模层316的上面被消蚀,则由多色仪208检测到的干涉光Lbi的光强度随之周期增减。运算部210根据干涉光Lbi的光强度变化,实时算出光刻胶掩模层316的消蚀量D1。图7中示出运算部210根据干涉光Lbi的光强度变化,算出光刻胶掩模层316的消蚀量D1的结果。
根据基于干涉光Lbi的光强度变化的运算结果,在本实施方式中,可把握从时刻T0到时刻T1之间光刻胶掩模层316被消蚀1.32微米。另外,以光刻胶掩模层316与多晶硅膜304的界面为基准,算出该光刻胶掩模层316的消蚀量D1。光刻胶掩模层316与多晶硅膜304的界面不受蚀刻的影响,其绝对高度恒定。因此,即使蚀刻光刻胶掩模层316膜厚有差异,也可正确算出光刻胶掩模层316的消蚀量D1。
属于蚀刻量检测装置200的运算部210将从干涉光Lbi的光强度变化求出的光刻胶掩模层316的蚀刻量(消蚀量D1)与从干涉光Lai的光强度变化求出的孔H的底面位置(光刻胶掩模层316的上面与孔H的底面的高低差)相加,从而算出多晶硅膜304的真的蚀刻量(孔H的绝对深度尺寸D2)。在本实施方式的情况下,如图7所示,时刻T1的多晶硅膜304的蚀刻量为6.49(=5.17+1.32)微米。
控制部220按照该运算结果,控制第1高频电源140、第1匹配器141、第2高频电源150和第2匹配器151。之后,基于运算部210的运算结果,在判断为孔H的底部达到规定深度的时刻,结束对多晶硅膜304的蚀刻处理。另外,也可根据基于运算部210的运算结果,调整多晶硅膜304的蚀刻速度。
至此,以光La的波长为261nm、光Lb的波长为387nm的情况,说明本实施方式,但是本发明中各波长不限于此。优选根据以下观点来设定各波长。
首先,为了高精度算出多晶硅膜304的蚀刻量,光La的波长较短有利。但是,必需选择光刻胶掩模层316的上面的反射率与孔H的底面的反射率变大的波长。若来自其它部位的反射光起支配地位,则难以检测干涉光Lai。
另外,光Lb用于检测光刻胶掩模层316的消蚀量D1。因此,就光Lb的波长而言,优选选择成使光刻胶掩模层316的上面的反射率、和光刻胶掩模层316与多晶硅膜304的界面的反射率变大。尤其是为了使光Lb在光刻胶掩模层316与多晶硅膜304的界面反射,从光刻胶掩模层316的上面取出其反射光Lb2,必需采用不包含在光刻胶掩模层316的吸收带中的波长的光Lb。
通常,形成光刻胶掩模层316时使用的曝光光的波长位于光刻胶掩模层316的吸收带的最长波长侧。换言之,选择调整构成光刻胶掩模层316的光刻胶材料,使光刻胶掩模层316的吸收带与曝光光的波长吻合。因此,若以构成光刻胶掩模层316的光刻胶材料的感光波长为基准,将光Lb的波长调整到长波长侧,则光Lb不被光刻胶掩模层316吸收地到达光刻胶掩模层316与多晶硅膜304的界面,并在这里反射。另外,反射光Lb2也不被光刻胶掩模层316吸收地从光刻胶掩模层316的上面取出。
但是,为了高精度检测光刻胶掩模层316的消蚀量D1,优选尽可能缩短光Lb的波长。例如,在采用感光氙气灯的i射线(波长365nm)的类型来作为构成光刻胶掩模层316的光刻胶材料的情况下,如本实施方式所示,将光Lb的波长设定得比i射线的波长长,或接近该波长的波长、例如387nm。波长387nm的光Lb例如由N2激光器得到。
如上所述,根据本实施方式,在多晶硅膜304的蚀刻处理中,算出光刻胶掩模层316的上面与孔H的底面的高低差,并算出光刻胶掩模层316的消蚀量D1。另外,通过运算(相加)这些值,高精度、实时检测多晶硅膜304的真的蚀刻量。
另外,在本实施方式中,为了检测多晶硅膜304的蚀刻量,使用光La与光Lb这两个光。其中,较长波长的光Lb透过掩模层。因此,不必使光La透过掩模层,将其波长设定得较短。因此,推进掩模图形的细微化,孔H的内径变小,另外,即使孔H变深,也可高精度检测多晶硅膜304的蚀刻量。
虽参照附图说明了根据本发明的蚀刻量检测方法和蚀刻装置的优选实施方式,但本发明不限于这些实例。不用说,本领域的技术人员能想到在权利要求范围内记载的技术思想范畴内的各种变更例或修正例,即使这些也当然理解为属于本发明的技术范围。
例如,就蚀刻多晶硅膜304(含硅膜)的情况来说明本发明的实施方式,但即使其它被蚀刻层也可适用本发明。
另外,本发明不限于被蚀刻层上的掩模层由光刻胶材料构成的情况。例如,如图5所示,在掩模层为硬掩模层306的情况下也可适用本发明。如上所述,因为光La基本上未被硬掩模层306吸收,所以即使仅由光La也可检测多晶硅膜304的蚀刻量。但是,在蚀刻量的检测结果要求严密的情况下,优选使用光Lb来检测硬掩模层306的消蚀量D11。在根据反射光La11的光强度变化来算出多晶硅膜304的蚀刻量时,若考虑硬掩模层306的消蚀量D11(硬掩模层306的厚度),则可较正确检测多晶硅膜304的蚀刻量。
另外,也可向晶片照射3种以上的光,使用从各光的反射光得到的干涉光来检测被蚀刻层的蚀刻量。例如,在被蚀刻层上存在多个层的情况下有效。
另外,以构成光刻胶掩模层316的光刻胶材料为由i射线(波长365nm)进行感光的种类的情况来说明本发明的实施方式,但即使光刻胶材料是感光KrF激元激光器激光(波长248nm)、ArF激元激光器激光(波长193nm)或F2激元激光器激光(波长157nm)之一的种类,也可适用本发明。
发明效果
如上所述,根据本发明,可与掩模层的种类无关地、正确、实时检测被蚀刻层的蚀刻量。

Claims (10)

1.一种蚀刻量检测方法,将布图后的掩模层作为掩模,对被处理体上的被蚀刻层实施蚀刻处理时,检测所述被蚀刻层的蚀刻量,其特征在于,具有如下工序:
向所述被处理体照射具有第1波长的第1光,同时,照射具有与所述第1波长不同的第2波长的第2光;
对所述第1光在所述掩模层表面反射得到的第1反射光与所述第1光在所述被蚀刻层中被蚀刻的被蚀刻部的底面反射得到的第2反射光干涉后产生的第1干涉光进行检测;
对所述第2光在所述掩模层表面反射得到的第3反射光与所述第2光在所述掩模层与所述被蚀刻层的界面反射得到的第4反射光干涉后产生的第2干涉光进行检测;和
根据所述第1干涉光与所述第2干涉光,算出所述被蚀刻部的蚀刻量。
2.根据权利要求1所述的蚀刻量检测方法,其特征在于:
所述掩模层由感光性材料构成,
所述第1波长比所述感光性材料的感光波长短,
所述第2波长比所述感光性材料的感光波长长。
3.根据权利要求1或2所述的蚀刻量检测方法,其特征在于:
根据所述第1干涉光与所述第2干涉光,算出所述被蚀刻部的蚀刻量的工序包含如下工序:
根据所述第1干涉光,算出所述掩模层的表面与所述被蚀刻部的底面的高低差;
根据所述第2干涉光,算出所述掩模层的蚀刻量;和
将所述掩模层的蚀刻量与所述掩模层的表面与所述被蚀刻部的底面的高低差相加。
4.根据权利要求1或2所述的蚀刻量检测方法,其特征在于:
所述被蚀刻层由含硅膜构成。
5.根据权利要求3所述的蚀刻量检测方法,其特征在于:
所述被蚀刻层由含硅膜构成。
6.一种蚀刻装置,将布图后的掩模层作为掩模,对被处理体上的被蚀刻层实施蚀刻处理,其特征在于:具备
光源,向所述被处理体照射具有第1波长的第1光,同时,照射具有与所述第1波长不同的第2波长的第2光;
光检测部,对所述第1光在所述掩模层表面反射得到的第1反射光与所述第1光在所述被蚀刻层中被蚀刻的被蚀刻部的底面反射得到的第2反射光干涉后产生的第1干涉光进行检测,并且,对所述第2光在所述掩模层表面反射得到的第3反射光与所述第2光在所述掩模层与所述被蚀刻层的界面反射得到的第4反射光干涉后产生的第2干涉光进行检测;和
运算部,根据所述第1干涉光与所述第2干涉光,算出所述被蚀刻部的蚀刻量。
7.根据权利要求6所述的蚀刻装置,其特征在于:
所述掩模层由感光性材料构成,
所述第1波长比所述感光性材料的感光波长短,
所述第2波长比所述感光性材料的感光波长长。
8.根据权利要求6或7所述的蚀刻装置,其特征在于:
所述运算部根据所述第1干涉光,算出所述掩模层的表面与所述被蚀刻部的底面的高低差;
根据所述第2干涉光,算出所述掩模层的蚀刻量;
将所述掩模层的蚀刻量与所述掩模层的表面与所述被蚀刻部的底面的高低差相加,由此算出所述被蚀刻部的蚀刻量。
9.根据权利要求6或7所述的蚀刻装置,其特征在于:
所述被蚀刻层由含硅膜构成。
10.根据权利要求8所述的蚀刻装置,其特征在于:
所述被蚀刻层由含硅膜构成。
CNB2004100429615A 2003-06-05 2004-06-04 蚀刻量检测方法、蚀刻方法和蚀刻装置 Expired - Fee Related CN100373557C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003160658A JP4500510B2 (ja) 2003-06-05 2003-06-05 エッチング量検出方法,エッチング方法,およびエッチング装置
JP2003160658 2003-06-05

Publications (2)

Publication Number Publication Date
CN1574243A CN1574243A (zh) 2005-02-02
CN100373557C true CN100373557C (zh) 2008-03-05

Family

ID=34053373

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100429615A Expired - Fee Related CN100373557C (zh) 2003-06-05 2004-06-04 蚀刻量检测方法、蚀刻方法和蚀刻装置

Country Status (3)

Country Link
US (2) US7481944B2 (zh)
JP (1) JP4500510B2 (zh)
CN (1) CN100373557C (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007027478A (ja) * 2005-07-19 2007-02-01 Sharp Corp エッチング方法およびエッチング装置
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
FR2892188B1 (fr) * 2005-10-14 2007-12-28 Nanotec Solution Soc Civ Ile Procede et dispositif de mesure de hauteurs de motifs
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
JP4640828B2 (ja) * 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8709951B2 (en) * 2007-07-19 2014-04-29 Texas Instruments Incorporated Implementing state-of-the-art gate transistor, sidewall profile/angle control by tuning gate etch process recipe parameters
CN102044431A (zh) * 2009-10-20 2011-05-04 中芯国际集成电路制造(上海)有限公司 刻蚀方法和刻蚀系统
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
KR101817559B1 (ko) 2010-09-06 2018-02-22 삼성전자주식회사 식각 시스템
US8778204B2 (en) * 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
GB201119598D0 (en) * 2011-11-14 2011-12-28 Spts Technologies Ltd Etching apparatus and methods
CN103363944B (zh) * 2012-04-01 2016-09-21 深南电路有限公司 一种去钻污咬蚀率及均匀度的测试方法
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
CN103395741B (zh) * 2013-07-31 2016-06-01 杭州士兰微电子股份有限公司 微机电工艺监控结构和监控方法
CN103811291B (zh) * 2013-12-20 2018-01-23 京东方科技集团股份有限公司 一种阵列基板制作方法、膜层刻蚀防损伤监控方法及设备
CN103745904B (zh) * 2013-12-31 2016-08-17 深圳市华星光电技术有限公司 一种干法刻蚀机及其刻蚀方法
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
CN105957818B (zh) * 2016-05-17 2018-08-24 上海华力微电子有限公司 化学机械研磨工艺模型校准验证流程中薄膜厚度引入方法
US10410832B2 (en) * 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10260865B1 (en) * 2016-09-13 2019-04-16 National Technology & Engineering Solutions Of Sandia, Llc High resolution, non-contact removal rate module for serial sectioning
CN115274488B (zh) * 2022-09-27 2023-02-10 浙江大学杭州国际科创中心 碳化硅裸片与碳化硅掩膜层刻蚀深度选择比预测方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6035519A (ja) * 1983-08-08 1985-02-23 Hitachi Micro Comput Eng Ltd 膜厚モニタ−装置
JP2000097648A (ja) * 1998-09-25 2000-04-07 Toshiba Corp 段差測定装置および段差測定方法
JP2001217227A (ja) * 2000-02-07 2001-08-10 Tokyo Electron Ltd 終点検出方法
JP2001284323A (ja) * 2000-03-30 2001-10-12 Toshiba Corp エッチング深さ検出装置、エッチング装置及びエッチング深さ検出方法、エッチング方法、半導体装置製造方法
US6541388B1 (en) * 1999-09-14 2003-04-01 Tokyo Electron Limited Plasma etching termination detecting method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2545948B2 (ja) 1988-09-06 1996-10-23 富士通株式会社 エッチング装置
US6210593B1 (en) * 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
JP4444428B2 (ja) * 2000-01-28 2010-03-31 東京エレクトロン株式会社 エッチング深さの検出方法並びにエッチングモニター装置及びエッチング装置
US6585908B2 (en) * 2001-07-13 2003-07-01 Axcelis Technologies, Inc. Shallow angle interference process and apparatus for determining real-time etching rate
US7875419B2 (en) * 2002-10-29 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Method for removing resist pattern and method for manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6035519A (ja) * 1983-08-08 1985-02-23 Hitachi Micro Comput Eng Ltd 膜厚モニタ−装置
JP2000097648A (ja) * 1998-09-25 2000-04-07 Toshiba Corp 段差測定装置および段差測定方法
US6541388B1 (en) * 1999-09-14 2003-04-01 Tokyo Electron Limited Plasma etching termination detecting method
JP2001217227A (ja) * 2000-02-07 2001-08-10 Tokyo Electron Ltd 終点検出方法
JP2001284323A (ja) * 2000-03-30 2001-10-12 Toshiba Corp エッチング深さ検出装置、エッチング装置及びエッチング深さ検出方法、エッチング方法、半導体装置製造方法

Also Published As

Publication number Publication date
JP4500510B2 (ja) 2010-07-14
JP2004363367A (ja) 2004-12-24
US20050029228A1 (en) 2005-02-10
CN1574243A (zh) 2005-02-02
US7481944B2 (en) 2009-01-27
US20090095421A1 (en) 2009-04-16

Similar Documents

Publication Publication Date Title
CN100373557C (zh) 蚀刻量检测方法、蚀刻方法和蚀刻装置
US6835275B1 (en) Reducing deposition of process residues on a surface in a chamber
JP4567828B2 (ja) 終点検出方法
JP4640828B2 (ja) プラズマ処理方法及びプラズマ処理装置
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7662646B2 (en) Plasma processing method and plasma processing apparatus for performing accurate end point detection
JP2005012218A (ja) エッチング処理をモニタリングする方法およびシステム
KR20030031152A (ko) 집적된 얕은 트렌치 분리 접근법
KR100595069B1 (ko) 드라이 에칭 방법
KR20010032913A (ko) 기판으로부터의 유기성 반사 방지 코팅 에칭 시스템 및 방법
KR20010075467A (ko) 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치
US20070023393A1 (en) Interferometer endpoint monitoring device
US9059038B2 (en) System for in-situ film stack measurement during etching and etch control method
JP4522888B2 (ja) プラズマ処理装置におけるf密度測定方法とプラズマ処理方法およびプラズマ処理装置
US8173036B2 (en) Plasma processing method and apparatus
US7372582B2 (en) Method for fabrication semiconductor device
US20060196846A1 (en) Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US7604908B2 (en) Fine pattern forming method
JP2004526293A (ja) チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
JP2003068709A (ja) ドライエッチング方法
US20050106868A1 (en) Etching method
JPH0567590A (ja) 半導体装置のエツチングにおける終点検出方法
JPS6245120A (ja) エツチング装置
JPH0549756B2 (zh)
JPH07263418A (ja) 平坦度制御装置および平坦度制御方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080305