KR20010075467A - 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치 - Google Patents

플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치 Download PDF

Info

Publication number
KR20010075467A
KR20010075467A KR1020017004036A KR20017004036A KR20010075467A KR 20010075467 A KR20010075467 A KR 20010075467A KR 1020017004036 A KR1020017004036 A KR 1020017004036A KR 20017004036 A KR20017004036 A KR 20017004036A KR 20010075467 A KR20010075467 A KR 20010075467A
Authority
KR
South Korea
Prior art keywords
masking layer
etching
openings
thickness
etch
Prior art date
Application number
KR1020017004036A
Other languages
English (en)
Other versions
KR100659163B1 (ko
Inventor
클리퍼트월터이.2세
카다파니히피콘마틴
Original Assignee
리차드 에이치. 로브그렌
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리차드 에이치. 로브그렌, 램 리서치 코포레이션 filed Critical 리차드 에이치. 로브그렌
Publication of KR20010075467A publication Critical patent/KR20010075467A/ko
Application granted granted Critical
Publication of KR100659163B1 publication Critical patent/KR100659163B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/22Measuring arrangements characterised by the use of optical techniques for measuring depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

본 발명은 트렌치 및 만곡부 에칭 공정과 같은 플라즈마 에칭 공정의 정확도를 개선시키는 공정 및 장치를 제공한다. 이 같은 공정에 있어서, 트렌치 또는 만곡부는 에칭된 개구부들의 소정 깊이에 저지층을 갖지 않는 재료층에서 에칭된다. 그 대신, 상기 에칭 공정은 측정된 또는 추정된 에칭율에 근거한 소정의 에칭 깊이를 달성하기 위해 계산된 세팅 시간 기간동안 실행된다. 예를 들면, 타겟 깊이를 달성하기 위한 에칭 기간은 통계적인 분석 또는 간섭계에 의한 에칭 깊이의 실시간 측정에 근거를 둘 수 있다. 그러나, 에칭이 소정의 에칭 깊이를 달성하기 위해 종료되어야만 할 때를 제어하기 위한 추정된 에칭율 또는 간섭계의 사용은 너무 깊거나 또는 너무 얕은 에칭 개구부들에 기인한 결함있는 구조의 결과를 초래할 수 있다. 본 발명에 따르면, 상기 에칭 공정의 부분으로서 마스킹층의 두께 측정을 안내함으로써 더 많은 재생가능 방식에서 더 정확한 에칭 깊이를 달성하는 방법으로 에칭 공정을 제어하는 기술이 제공된다.

Description

플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및 장치{Method and apparatus for improving accuracy of plasma etching process}
집적회로 제조에서의 일반적인 필요조건은 도체, 반도체 및 유전체 재료를 포함하는 재료 스택(stack)에서의 트렌치와, 만곡부, 접촉부 및 관통부와 같은 개구부(들)의 에칭이다. 상기 유전체 재료는 플루오르화된 산화실리콘(FSG)과 같은 도핑된 산화실리콘과, 이산화실리콘과 같은 도핑되지 않은 산화실리콘, 붕소 인산 규산 유리(BPSG; boron phosphate silicate glass) 및 인산 규산 유리(PSG)와 같은 규산화유리, 도핑된 혹은 도핑되지 않은 열적 성장 산화실리콘, 도핑된 혹은 도핑되지 않은 TEOS 디포지트된(deposited) 산화실리콘 등을 포함한다. 상기 유전체의 도펀트들은 붕소, 인 및/또는 비소를 포함한다. 상기 도체 또는 반도체 재료는 다중결정체 실리콘과, 알루미늄, 구리, 티탄, 텅스텐, 몰리브덴 혹은 이들의 합금과 같은 금속, 질화티탄과 같은 질화물, 규산화티탄, 규산화코발트, 규산화텅스텐, 규산화몰리브덴 등과 같은 규산화금속 등을 포함한다.
산화실리콘에서 개구부를 에칭하기 위한 여러가지 플라즈마 에칭 기술이 미국특허번호 제 5,013,398 호; 제 5,013,400 호; 제 5,021,121 호; 제 5,022,958 호; 제 5,269,879 호; 제 5,529,657 호; 제 5,595,627 호; 제 5,611,888 호; 및 제 5,780,338 호에 개시되어 있다. 상기 플라즈마 에칭은, 상기 제 '398 호 특허에 개시된 병렬 플레이트 플라즈마 반응장치(reactor) 챔버와 같은 중간 밀도 반응장치 혹은 상기 제 '400 호 특허에 개시된 삼극진공관 타입 반응장치 혹은 상기 제 '657 호 특허에 개시된 유도 결합 반응장치와 같은 고밀도 반응장치에서 실행될 수 있다.
집적회로 공정은 커지는 웨이퍼 사이즈에 비해 줄어드는 기하도형적 배열에 대한 딱 맞는 제어를 필요로 한다. 결과적으로, 이와 같은 제조 공정에서의 모니터링 비용은 인-라인(in-line) 모니터링 설비와 기술의 사용에도 불구하고 증가하고 있다. 디바이스의 기하도형적 배열이 점점 작아짐에 따라, 상당한 노력이 무결점 집적회로 구조를 달성하기 위한 목적으로 에칭 공정을 개선시키는데 바쳐지고 있다. 예를 들면, 미국특허번호 제 5,131,752 호; 제 5,362,356 호; 및 제 5,450,205 호를 보면 알 수 있다.
플라즈마 에칭 공정 동안 정확한 깊이를 달성하는데 있어서 한가지 문제는 마스크 두께의 변동에 기인한다. 이와 같은 마스크 두께의 변동은 상기 에칭 공정전에 실행되는 화학 기계적인 폴리싱(CMP; chemical mechanical polishing)에서 일어날 수 있다. 상기 폴리싱 공정이 상기 마스크에 대한 타겟(target) 두께를 제공할 수 있지만, 공정처리되고 있는 웨이퍼들 중에서 마스킹층 두께의 변동이 어느 정도 있을 것이다. 인사이투(in situ) 인터페로미터(간섭계; interferometry)로 에칭 깊이를 결정함으로써 상기 타겟 에칭 깊이가 제어되는 에칭 공정에 있어서, 웨이퍼에서 웨이퍼의 마스크 두께의 변동이 상기 마스킹층의 아래층에서 관통부, 접촉부, 트렌치 등과 같은 개구부의 오버(over) 에칭 또는 언더(under) 에칭에서 주어질 수 있다.
플라즈마 챔버에서 기판의 배출물을 분석함으로써 플라즈마 챔버에서의 에칭 공정 종료점을 모니터링하는 것은 공지되어 있다. 전형적으로, 예를 들어 미국특허번호 제 4,615,761 호에 개시된 바와 같이, 이것은 그 반응이 종료되었을 때를 확인하는 플라즈마 반응 생성물들에서 선택된 어느 하나에 대응하는 방사물의 양을 모니터링하는 것을 포함한다. 미국특허번호 제 5,045,149 호는 두번째 재료에서 첫번째 재료를 에칭하는 공정의 종료점을 검출하는 방법 및 장치를 개시한다. 상기 플라즈마 에칭 공정의 광학 배출물 밀도는, 첫번째 및 두번째 신호를 각각 생성하는 포지티브(positive) 필터 및 네거티브(negative) 필터에 의해서 동시에 모니터링되고, 여기서 상기 첫번째 및 두번째 신호는 결합 신호를 생성하기 위해 결합된다. 상기 결합 신호는 상기 첫번째 재료가 에칭되어 상기 두번째 재료를 노출시키는 것을 표시하는 변화를 위해 모니터링된다.
미국특허번호 제 5,450,205 호는 플라즈마 공정 동안 웨이퍼를 지켜보는 전하 결합 디바이스(CCD) 카메라에 의한 웨이퍼의 에칭 또는 웨이퍼상의 박막필름의 디포지션(deposition) 모니터링을 개시한다. 플라즈마 배출물 또는 상기 웨이퍼에서 반사되는 레이저 조명은 웨이퍼의 에칭 또는 디포지션 동안 간섭계에 의해 야기되는 시간 변조를 나타내어, 에칭 또는 디포지션 공정 종료점을 모니터링하는데 사용된다.
미국특허번호 제 5,413,966 호는 트렌치 에칭 공정을 개시하는데, 여기서 트렌치 마스크가 도핑된 또는 도핑되지 않은 폴리실리콘(polysilicon)의 상부층에 그리고 이산화실리콘 또는 질화실리콘의 하부층에 형성된다. 상기 2개의 층은, 하부에 놓이는 실리콘 기판에서 트렌치의 다음 에칭을 위한 트렌치 마스크를 형성하는 첫번째 에칭 단계에서 패터닝된다. 상기 상부층은 에칭된 트렌치의 깊이에 대응하는 두께로 디포지트된다. 상기 트렌치 에칭 종료점은 상기 폴리실리콘의 제거와 상기 마스크 하부층의 노출에 의해 제공된다. 상기와 같은 공정에 있어서, 동일한 에칭 조건하에서 공정처리되는 웨이퍼의 배치(batch)에서 어느 한 웨이퍼에서 다음 웨이퍼까지 상부층 두께의 변동(예를 들면, 디포지션 공정의 변동 또는 화학 기계적인 폴리싱과 같은 두께 감소 공정에 기인하는)은 의도된 사용을 위해 너무 깊거나 너무 얕은 트렌치로 될 수 있다. 더욱이, 만일 상기 기판이 대량 분광계(spectrometry)에 의한 것과 같은 가스상태 종류의 모니터링을 허용하는 저지층을 포함하지 않는다면, 인사이투 측정과 소정의 에칭 깊이를 달성하기 위한 에칭 공정의 실시간 제어는 문제가 많게 된다. 미국특허번호 제 5,807,761 호는 트렌치 형성 공정에 대한 실시간 및 인사이투 모니터링 방법을 개시한다. 이 방법에 따르면, 에칭 공정 동안 상기 에칭 깊이를 모니터링 하는데 간섭계가 사용된다. 그러나, 간섭계는 단지 에칭된 구조의 상부와 관련한 에칭 깊이만을 제공하기 때문에, 에칭 종료점을 제어하기 위한 측정의 사용은 부정확한 결과로 이끌릴 수 있다. 마스킹층에서 개구부를 에칭하는 경우에 있어서, 만일 상기 마스킹층이 상기 개구부를 따라 에칭된다면, 간섭계에 의해 제공되는 에칭 깊이의 측정은, 시간이 정해진 에칭에 대한 개선 잠재성을 제공하는 반면, 에칭전 상기 마스킹층 두께의 변동에 대한 보상이 상기 에칭 깊이 측정에서 고려되지 않기 때문에 사양에 정해진 에칭 깊이를 벗어나는 것을 방지하기에 불충분할 수 있다.
상업적인 제조 기술들이 더 작은 디바이스 기하도형적 배열을 채용함에 따라서, 대량생산 동안 어느 한 웨이퍼에서 다음 웨이퍼까지 정확한 에칭 깊이를 달성하는 것은 항상 중요하게 된다. 시간이 정해진 에칭이 타겟 에칭 깊이를 달성하는데 사용되었던 종래 기술들은, 상기 마스킹층의 하(부)측(underside)과 관련한 실제적인 에칭 깊이에서의 작은 변동 조차도, 상기 개구부가 너무 깊거나 너무 얕다면, 동작하지 않는 디바이스들로 될 수 있기 때문에 대량생산에 더 이상 적합하지 않다.
본 발명은 플라즈마 에칭 공정 및 그 정확도를 개선시키기 위한 장치에 관한 것이다.
본 발명의 특징과 장점은, 같은 부재번호가 유사한 구성요소를 표시하는 도면을 참조하면서 이어지는 상세한 설명을 읽음으로써 잘 이해된다:
도 1은 400 내지 500nm의 스펙트럼 범위에 대한 간섭계에 의해 얻어진 커브를 나타내고, 상기 커브는 투과(투명) 필름의 존재를 표시하고 그리고 본 발명에따른 마스킹층 두께를 측정하는 소프트웨어 프로그램을 개발하는데 이용가능하다;
도 2는 화학 기계적인 폴리싱에 의해 노출된 질화실리콘 마스킹층을 갖는 웨이퍼에서 측정된 450nm에 대한 스펙트럼 응답을 나타내고, 그 커브는 본 발명에 따른 브레이크스루우(breakthrough) 및 만곡부(recess) 에칭 단계를 표시한다;
도 3은 질화실리콘 마스킹층 위에 놓이는 폴리실리콘 층을 갖는 웨이퍼에서 측정된 450nm에 대한 스펙트럼 응답을 나타내고, 그 커브는 브레이크스루우, 벌크부(bulk), 및 만곡부 에칭 단계를 표시한다; 그리고
도 4는 질화실리콘 마스킹층 위에 놓이는 폴리실리콘 층을 갖는 웨이퍼에서 측정된 450nm 및 500nm에 대한 다중-주파수 스펙트럼 응답을 나타내고, 그 커브는 본 발명에 따른 브레이크스루우, 벌크부 및 만곡부 에칭 단계를 표시한다.
본 발명은 마스킹층 및 에칭되는 재료를 함유하는 상기 마스킹층의 개구부를 포함하는 반도체 기판을 플라즈마 챔버에서 기판 지지부 위에 지지하는 단계; 상기 플라즈마 챔버에서 에칭 플라즈마를 생성함으로써 상기 마스킹층의 개구부의 상기 재료를 에칭하는 단계; 상기 마스킹층 개구부의 상기 재료의 에칭을 완료하기 전에 상기 마스킹층의 두께를 측정하는 단계; 상기 마스킹층의 하부측(underside)과 관련이 있는 타겟 에칭 깊이를 달성하기 위한 상기 에칭 단계의 지속기간을 제어하는 단계; 상기 개구부의 상기 타겟 에칭 깊이가 얻어졌을 때 상기 에칭 플라즈마를 소멸시키는 단계; 및 상기 챔버에서 상기 기판을 이동시키는 단계;를 포함하는 플라즈마 챔버에서 반도체 기판의 개구부를 에칭하는 방법을 제공한다.
본 발명의 일태양에 따르면, 상기 측정 단계는 간섭계에 의해 실행될 수 있고, 및/또는 상기 두께 측정 단계는 상기 플라즈마에서 염화실리콘 또는 CO와 같은 화학 종류(species)가 검출될 때 실행될 수 있다. 또 다른 태양에 따르면, 상기 마스킹층 상부에 놓이는 재료층은 상기 두께 측정 단계 전에 상기 마스킹층에서 제거된다. 예를 들면, 상기 마스킹층 상부에 놓이는 재료층은 상기 에칭 단계 전에 화학 기계적인 폴리싱에 의해 제거될 수 있다. 본 발명의 방법은 에칭 작용에서 유리한데, 여기서 개구부의 재료는 상기 타겟 에칭 깊이에서 저지층을 포함하지 않는다. 바람직한 실시예에 있어서, 상기 마스킹층은 필수적으로 질화실리콘으로 이루어지고, 상기 개구부내의 상기 재료는 필수적으로 폴리실리콘으로 이루어진다. 그러나, 상기 마스킹층은 2개 이상의 재료층 또는 포토레지스트를 포함할 수 있다.
또한, 본 발명은 플라즈마 챔버에서 반도체 기판의 개구부를 에칭하기 위한 장치를 제공하는데, 이 장치는 그 챔버 내부로 에칭 가스를 공급하기 위한 가스 공급부를 포함하는 플라즈마 에칭 챔버; 상기 플라즈마 챔버 내부에서, 마스킹층 및 에칭되는 재료를 함유하는 상기 마스킹층의 개구부를 포함하는 반도체 기판을 지지하기 위한 기판 지지부; 상기 에칭 가스를 에칭 단계 동안 상기 마스킹층의 개구부내의 상기 물질을 에칭하는 플라즈마로 에너지를 주는 에너지 소스; 상기 마스킹층의 두께를 측정하고, 상기 마스킹층의 개구부내의 상기 재료의 에칭을 완료하기 전에 상기 측정된 마스킹층 두께를 나타내는 신호를 출력하는 두께 측정 디바이스; 및 상기 두께 측정 디바이스로부터 출력된 상기 신호를 수신하고, 상기 마스킹층의하측과 관련한 타겟 에칭 깊이를 달성하기 위한 상기 에칭 단계를 자동적으로 조정하는 제어기;를 포함하고, 상기 제어기는 상기 개구부의 타겟 에칭 깊이가 얻어졌을 때 상기 에칭 단계를 종료시킨다.
본 발명의 일태양에 따르면, 상기 두께 측정 디바이스는 간섭계이고 및/또는 상기 장치는 상기 마스킹층 위에 놓이는 재료층의 에칭 동안 상기 마스킹층의 상부 표면의 노출을 검출하는 검출기를 더 포함하는데, 상기 검출기는 상기 플라즈마에서 화학 종류가 검출되었을 때 두께 측정 신호를 상기 제어기에 출력한다. 상기 화학 종류는 상기 마스킹층이 질화실리콘을 포함하는 경우에는 염화실리콘일 수 있고, 상기 개구부의 재료는 상기 마스킹층이 포토레지스트인 경우에는 폴리실리콘 또는 CO일 수 있다. 일실시예에 따르면, 상기 두께 측정 디바이스는 상기 마스킹층 위에 놓이는 재료층을 제거하는 단계를 포함하는 에칭 공정 동안 상기 마스킹층의 두께를 측정한다. 또 다른 실시예에 따르면, 상기 두께 측정 디바이스는 화학 기계적인 폴리싱에 의해 평탄하게 된 마스킹층의 두께를 측정한다. 다른 실시예에 따르면, 상기 제어기는 저지층이 상기 타겟 에칭 깊이에 존재하지 않는 상기 개구부내의 재료의 에칭 동안 에칭 단계를 종료시킨다.
본 발명은 트렌치 및 만곡부 에칭 공정과 같은 플라즈마 에칭 공정의 정확도를 개선시킨 공정을 제공한다. 상기와 같은 공정에 있어서, 트렌치 또는 만곡부는 에칭된 개구부의 소정 깊이에 저지층을 갖지 않는 재료층에서 에칭된다. 그 대신에, 상기 에칭 공정은 측정된 또는 추정된 에칭율을 근거로 한 소정의 에칭 깊이를 달성하기 위해 계산된 세팅 시간 기간동안 실행되는 시간 정해진 에칭이다. 예를 들면, 타겟 깊이를 달성하기 위한 에칭 지속기간은 간섭계에 의한 에칭 깊이의 통계 분석 또는 실시간 측정에 토대를 둘 수 있다. 그러나, 추정된 에칭율 또는 소정의 에칭 깊이를 달성하기 위해 에칭이 종료되어야만 할 때를 제어하는 간섭계의 사용은, 너무 깊은 또는 너무 얕은 에칭 개구부 때문에 결함이 있는 구조의 결과를초래할 수 있다. 본 발명에 따르면, 더 많은 재생가능 방식에서 더 정확한 에칭 깊이를 달성하는 방법으로 에칭 공정을 제어하는 기술이 제공된다.
본 발명에 따르면, 에칭 공정은 더 정확한 에칭 깊이가 구해지도록 허용하는 방법으로 모니터링된다. 상기 에칭 공정은 낮은, 중간 또는 높은 밀도 플라즈마 반응장치에서 실행될 수 있고, 어떤 적합한 필름 두께와 에칭 깊이 모니터링 설비가 본 발명에 따라서 사용될 수 있다. 필름 두께를 측정하기 위한 설비 및 데이터 프로세싱 소프트웨어는 루돌프 테크놀러지스 회사(Rudolph Technologies, Inc.), 프로메트릭스(Prometrix), 레이볼드(Leybold), 소피(Sofie), 에스씨 테크놀러지(SC Technology) 등과 같은 여러 회사로부터 상업적으로 입수가능하다. 다음 예들에 있어서, 상기 에칭 공정은 LAM 리서치 코퍼레이션에서 상업적으로 입수가능한 9400 PTXTM고밀도 플라즈마 에칭장치(etcher)에서 실행되었고, 필름 두께와 에칭 깊이의 측정은 에스씨 테크놀러지 회사에서 상업적으로 입수가능한 인스펙터(Inspector) 3000 간섭 필름 두께 및 에칭 깊이 모니터링 시스템를 사용하여 구해졌다.
본 발명은 단일 웨이퍼 에칭장치에서 웨이퍼 배치의 공정 동안 마스킹층 두께의 웨이퍼에서 웨이퍼에의 변동을 보상하는 실시간 에칭 깊이 모니터링 장치를 제공한다. 본 발명에 따르면, 상기 마스킹층의 두께는 상기 마스킹층에서 개구부(들)를 에칭하기 전에 각 웨이퍼의 상기 마스킹층 두께를 측정하는 자동공정에 의해 결정된다. 상기 마스킹층은 바람직하게 질화물 또는 산화물과 같은 재료의 하드(hard) 마스크이다. 상기 반도체 기판이 에칭 전에 상기 마스킹층 위의 층들을 제거하는 CMP에 종속되는 에칭 공정에 있어서, CMP 후의 상기 마스킹층의 두께의변동 때문에 에칭 깊이를 결정하기 위한 간섭계의 사용은, 상기 마스크의 상부 표면이 기하도형적 배열의 기준(reference)으로서 사용되므로 부정확으로 이끌릴 수 있다. 본 발명에 따른 상기 에칭 깊이의 더 정확한 결정을 제공하기 위해, CMP 후의 상기 마스킹층의 두께는 상기 만곡부의 간섭계 종료점 에칭 동안 상기 에칭 깊이를 보정하기 위해 사용된다. 이것은 외부 종료점 시스템 소프트웨어 또는 상기 에칭장치 자체 소프트웨어에 소프트웨어 루틴을 부가함으로써 달성될 수 있다. 또한, 상기 마스크 두께 측정은 보정이 유용할 수 있는 다른 인-라인(in-line) 측정을 위해 사용될 수 있다.
상기 마스킹층 두께 측정은 표준 간섭계 기술에 의해 만들어질 수 있고, 잇따른 또는 나머지 에칭 공정 동안 기준점으로 사용될 수 있다. 예를 들면, 웨이퍼가 상기 플라즈마 챔버에 들어가서 정전기 척과 같은 어떤 적합한 기판 지지부에 클램프된 후, 상기 마스킹층의 두께 측정이 간섭계 시스템과 같은 어떤 적합한 기술에 의해 실행된다. 상기 간섭계 시스템은 상기 웨이퍼로부터의 간섭 신호 패턴을 기록할 수 있고, 상기 신호의 형태는 광학 계수 및 상기 웨이퍼상에 있는 상기 투과 필름의 두께에 의해 결정된다. 만일, 최상부 필름이 조명광의 파장 범위에서 투과되지 않고, 상기 웨이퍼 표면이 평탄하면, 어떤 신호 패턴도 보이지 않을 것이다. 만일, 상기 최상부 필름이 상기 파장 범위에서 투과된다면, 본 발명의 공정은 상기 에칭 깊이 측정의 정확도를 개선시키는데 사용될 수 있다.
본 발명은 트렌치 및 만곡부 에칭 공정과 같은 플라즈마 에칭 공정의 정확도를 개선시킬 수 있는 공정을 제공한다. 이 공정에 있어서, 트렌치 또는 만곡부는에칭된 개구부의 소정 깊이에 저지층을 갖지 않는 재료층에서 에칭된다. 그 대신, 상기 에칭 공정은 측정된 또는 추정된 에칭율의 토대 위에서 소정의 에칭 깊이를 달성하기 위해 계산된 세팅 시간 기간동안 실행된다. 예를 들면, 타겟 깊이를 달성하기 위한 에칭 지속기간은 간섭계에 의한 에칭 깊이의 통계 분석 또는 실시간 측정에 토대를 둘 수 있다. 그러나, 추정된 에칭율 또는 소정의 에칭 깊이를 달성하기 위해 에칭이 종료되어야만 할 때를 제어하는 간섭계의 사용은, 너무 깊은 또는 너무 얕은 에칭 개구부 때문에 결함이 있는 구조의 결과를 초래할 수 있다. 본 발명에 따르면, 더 많은 재생가능 방식으로 더 정확한 에칭 깊이를 달성하는 방법에서의 에칭 공정을 제어하는 기술이 제공된다. 조명광의, 사인곡선 패턴의 어떤 종류가 반사도 대 조명광 파장의 플롯(plot)에서 나타날 것이다. 상기 패턴의 형태는 필름 두께, 상기 필름 바로 밑에 있는 필름의 투과성 또는 불투과성, 하부 필름의 두께 및 모든 필름의 광학 계수의 함수일 것이다. 만일, 상기 하부 필름의 두께 및 광학 계수와, 상부 필름의 광학 계수가 알려져 있다면, 상기 상부 필름의 주어진 두께에 대한 이론적인 신호를 구축하는 것이 가능하다. 상기 상부 필름에 대한 주어진 두께의 입력을 변화시킴으로써, 반사도 대 파장의 실제적인 패턴과 근접하게 매칭되는 패턴을 만드는 것이 가능하다. 이와 같은 매칭은, 상기 상부 필름에 대한 입력 두께가 상기 상부 필름의 실제 두께와 같을 때 그 점에서 일어날 것이다. 본 발명에 따르면, 앞서 설명한 필름 두께를 측정하는 기술은 해당 공정이 진행됨에 따라서 각 웨이퍼에 대한 에칭 단계의 종료점을 보상하는 자동화된 공정에서 사용될 수 있다. 결과적으로, 마스크 두께의 오프-라인 측정을, 웨이퍼 배치의 에칭 동안 만나게 되는 마스크 두께의 범위를 조절하기 위해 시간이 정해진 에칭의 기간을 추정하기 위한 CMP를 뒤따르게 안내하는 필요성을 제거함으로써, 더 정확한 에칭 깊이가 얻어질 수 있고, 그 생산 효율성이 개선될 수 있다.
본 발명에 따른 공정은 에칭 공정의 더 정확한 종료점을 결정하는데 유용한데, 여기서 상기 마스킹층은 상기 마스킹층에 의해 덮히지 않은 영역이 에칭될 때 동시에 에칭된다. 이 같은 공정에 있어서, 상기 에칭 레시피(recipe)는 상기 마스킹층에 의해 덮히지 않은 영역 보다 더 느린 레이트(rate)로 상기 마스킹층을 에칭하도록 디자인된다. 상기 에칭 공정 동안, 상기 간섭계에 의해 보여지는 신호는 상기 마스킹층의 상부 및 하부 표면과 상기 마스킹층 아래의 필름에서 반사되는 광과, 에칭되는 상기 만곡부의 저부에서 반사되는 광을 보는 것이기 때문에 변화할 것이다. 만일 상기 최상부 필름의 에칭율이 상기 최상부 필름에 의해 마스크된 필름의 에칭율과 비교되어 알려져 있다면, 상기 에칭 공정 시간 동안 상기 최상부 필름의 얼마나 많은 부분이 제거되나를 추정하는 것이 가능하다. 상기 최상부 필름 또는 마스크 및 상기 하부 필름에 의해 생성된 신호는 전체 신호에서 차감될 수 있는데, 이것은 상기 필름 신호와 상기 만곡부 저부로부터의 신호의 조합이다. 이 같은 방법에 있어서, 상기 만곡부 깊이의 더 정확한 결정이 구해질 수 있다.
전통적인 간섭계 만곡부/트렌치 에칭 깊이 측정에 있어서, 에칭된 개구부의 깊이는 상기 마스킹층의 상부와 관련이 있다. 실제의 마스크 두께가 기대된 마스크 두께와 같을 수 있는 통계학적 가능성이 있지만, 상기 마스킹층은 두께에 있어서 어느 정도 변화할 것이 제조 공정의 변동 때문에 더 쉽다. 간섭계에 의해 상기 마스킹층 상부에서 측정된 에칭 깊이를 참조함으로써, 고정확도를 필요로 하는 디바이스 구조에서, 상기 개구부의 저부와 상기 마스킹층 상부 사이의 거리 함수로서 상기 에칭 공정을 종결하는 것은, 에칭 깊이의 필요조건을 충족하지 못하는 에칭 깊이로 될 수 있다. 이것은 특히 상기 마스킹층이 기대된 것 보다 더 얇을 때 문제가 된다. 더 정확한 에칭 깊이 결정은, 상기 마스킹층의 상부 보다는 상기 마스킹층의 저부에서 측정된 에칭 깊이를 참조함으로써 구해질 수 있다. 상기 마스킹층의 저부는, 상기 마스킹층이 해당 하드 마스크가 이동될 때 저지층으로서 작용하는 산화 패드(pad) 위의 하드 마스크일 때도 민감한(critical) 기준점으로서 작용한다.
본 발명에 따르면, 상기 마스킹층 두께 측정을 위한 광(빛)의 다른 파장을 하는 것이 유리할 수 있다. 예를 들면, 광의 파장이 길면 길수록, 상기 필름은 더 많은 투과가 되는 것으로 나타나게 된다. 그러나, 얕은 만곡부/트렌치 에칭(예를 들면, 200 내지 300nm의 타겟 깊이)에 있어서, 상기 얕은 에칭 깊이를 측정하기 위한 100 내지 150nm의 오더(order)에 대해 더 짧은 파장을 사용하는 것이 필요할 수 있다. 상기 더 짧은 파장은 상기 마스크가 상기 측정 광에 불투명하게 되도록 나타나게 할 수 있는데, 이 경우 유일한 단일 파장이 사용되면, 마스크 두께를 결정하는 인사이투에서 분석될 수 있는 마스크층의 저부에 의해 생성되는 신호가 없을 수 있다. 본 발명에 따르면, 상기 마스크 두께는 파장 스펙트럼, 즉 주파수 범위에서 생성된 패턴을 찾음으로써 제시간에 고정 위치점에서 측정될 수 있다.
상기 마스킹층 아래에 놓이는 층이 상기 벌크 에칭 단계에서 완전하게 제거되지 않는 경우, 상기 마스킹층상의 잔류물을 완전하게 제거하기 위해 상기 에칭공정을 변형함으로써 상기 마스킹층 두께 측정의 정확도를 더욱 개선시키는 것이 바람직할 수 있다. 예를 들면, 상기 마스킹층 두께 측정은 상기 만곡부 에칭이 시작된 후 까지 지연될 수 있거나, 또는 상기 벌크 에칭이 상기 플라즈마의 화학 종류를 모니터링함으로써 발생되는 종료점 신호를 지나서 약 몇초와 같은 짧은 시간동안 지속될 수 있다. 예를 들면, 상기 만곡부 에칭은 상기 두께 측정의 정확도를 개선하기 위해 잔류물을 충분하게 제거하기에 충분한 시간동안 시작될 수 있는데, 상기 두께 측정은 RF 에너지가 사라지는 동안 안정화 단계 동안 실행될 수 있고, 상기 만곡부 에칭은 상기 측정이 완료된 후 완료될 수 있다. 마스킹층에서 잔류물을 제거하는 공정 루틴은 다음 단계들을 포함할 수 있다: 본래(native) 산화물(무 플라즈마; no plasma)의 브레이크스루우(breakthrough)를 위한 가스 안정화, 본래 산화물을 제거하기 위한 브레이크스루우, 벌크 에칭(무 플라즈마)을 위한 가스 안정화, 화학 종류 밀도에서의 기울기에 의해 모니터링된 종료점의 벌크 에칭, 벌크 오버에칭을 위한 가스 안정화, 벌크 에칭에서 남은 잔류물을 제거하기 위해 시간이 정해진 벌크 오버에칭과 만곡부 에칭의 시작, 만곡부 에칭(무 플라즈마)을 위한 가스 안정화, 마스킹층의 두께 측정, 측정된 에칭 깊이를 상기 만곡부 에칭 동안 두께의 감소를 위해 조정된 이전의 측정 마스크 두께와 비교하는 간섭계에 기초한 종료점에서의 만곡부 에칭.
요약하면, 에칭 깊이의 증가된 정확도와, 웨이퍼를 상기 플라즈마 챔버에 들여보내기 전에 마스크 두께의 오프-라인 측정 단계들을 제거함으로써 증가된 생산 효율성과, 개별적인 웨이퍼를 토대로 한 에칭 레시피를 수작업으로 변화시켜야 하는 필요성을 제거함으로써 증가된 생성 효율성을 포함하는 본 발명의 공정에 따라 여러가지 이점이 얻어질 수 있다. 본 발명의 공정이 에칭 종료점이 자동적으로 인-사이투(in-situ) 제어되도록 허용함으로써, 본 발명은 기대되는 마스크 두께 및 기대되는 에칭율을 통계적으로 유추한 것을 근거로 하는 시간이 정해진 에칭 공정 이상으로 중요한 장점을 제공한다.
다음의 예들은 본 발명이 반도체 제조 공정에서 어떻게 실행될 수 있는가를 설명하기 위한 목적으로 제공된다. 그러나, 본 발명은 그들의 이점으로부터 이익을 얻을 수 있는 다른 공정에서도 실시될 수 있다.
예 1
본 발명의 방법은 다음과 같은 만곡부 에칭 공정에서 실행될 수 있다. 이 공정에 있어서, 개구부들은 마스킹층을 갖는 기판에서 에칭되는데, 상기 마스킹층은 상기 기판에 형성된 개구부들과 대응되는 소정 패턴의 개구부들을 갖는다. 본 발명에 따르면, 상기 마스킹층의 두께는 상기 개구부들을 에칭하기 전에 측정되고, 그 두께는 에칭 단계의 기간을 제어하는데 사용된다. 간섭계에 의한 상기 마스킹층 두께의 결정은, 상기 두께의 계산이 재료 단층의 굴절(refractive) 인덱스에 의존할 것이기 때문에 상기 마스킹층이 재료 단층인 경우에 단순화된다. 상기 마스킹층이 2개 이상의 층들로 구성될 경우에, 상기 마스킹층 두께의 계산이 여러 층들의 다른 굴절 인덱스들에 의해 복잡해지지만, 상기 마스킹층의 두께를 계산하기 위해 간섭계를 사용하는 것은 여전히 가능하다.
다음의 논의에 있어서, 이산화실리콘 마스킹층을 통하는 폴리실리콘의 만곡부 에칭은 고밀도 플라즈마 에칭장치를 사용하여 실행되었는데, 여기서 RF 에너지는 상기 플라즈마 반응장치에서 유도 결합되었다. 상기 에칭 공정은 웨이퍼의 본래 산화물이 제거되는 브레이크스루우 단계와, 상기 마스킹층 위의 재료가 제거되는 벌크 에칭 단계, 및 상기 마스킹층의 개구부들이 타겟 깊이로 에칭되는 만곡부 에칭 단계를 포함했다.
도 1에 도시한 플롯과 유사한 스펙트럼 플롯이 본 발명에 따른 상기 마스킹층 두께 측정의 사용을 위한 데이터를 생성하는데 사용될 수 있다. 특히, 도 1에 도시된 400 내지 500nm 스펙트럼 플롯은, 상기 마스킹층의 개구부들을 에칭하기 전에 마스킹층의 두께를 계산하는 소프트웨어 프로그램을 개발하는데 사용될 수 있다. 그러나, 유사한 정보가 10 내지 1000nm의 범위에서와 같은 다른 스펙트럼 범위에서 구해질 수 있다. 상기 소프트웨어 프로그램은 저장된 커브 프로파일을 측정된 커브 프로파일과 매칭시키는데 사용될 수 있고, 따라서 상기 마스킹층이 상기 에칭 플라즈마에 의해 침식되기 전에 적기 적소에서 마스킹층의 두께를 유추할 수 있다. 상기 바람직한 방법이 상기 마스킹층의 개구부들을 에칭하기 전에 적절하게 개발된 소프트웨어로 상기 마스킹층 두께를 측정할 수 있지만, 또한 상기 마스킹층 두께 측정은 상기 마스킹층이 상기 에칭 플라즈마에 의해 침식될때 한번 이상 상기 커브의 매칭 공정을 수행함으로써 상기 개구부들의 에칭 동안 구해질 수 있다. 즉, 소프트웨어 프로그램은 그것들의 침식 동안 실제 마스킹층 두께를 모니터링하는데, 그리고 상기 마스킹층의 하부 표면과 관련한 측정된 에칭 깊이를 더 정확하게 결정하기 위해 기록된 두께 측정치를 이용하는데 사용될 수 있다.
본 발명의 바람직한 실시예에 따르면, 상기 마스킹층의 두께는 상기 폴리실리콘이 상기 마스킹층을 관통하여 에칭되는 그 때에 간섭계에 의해 측정된다. 예를 들면, 플라즈마의 화학 종류(예를 들면, 염화실리콘)는 모니터링될 수 있고, 상기 마스킹층의 두께는 상기 플라즈마에서 모니터링된 화학 종류가 상기 마스킹층 위에 놓이는 층이 상기 마스킹층을 관통해 에칭된 때를 나타내는 그 때에 측정될 수 있다. 상기 만곡부 에칭 부분이 에칭 공정에 있는 동안, 상기 개구부들의 깊이는 간섭계에 의해 측정될 수 있다. 상기 개구부들이 상기 마스킹층의 하측과 관련하여 정확한 에칭 깊이에 있을 수 있도록 상기 에칭 공정을 종료시킬 때를 결정하기 위해, 소정의 컴퓨터가 (1)상기 마스킹층과 동시에 에칭된 표면과 관련한 개구부들의 측정된 깊이, (2)상기 마스킹층의 에칭율 및 (3)상기 마스킹층의 이전에 측정된 두께를 고려함으로써 상기 타겟 에칭 깊이가 도달된 때를 계산하기 위해 사용될 수 있다. 필수적으로, 상기 마스킹층의 하측과 관련한 에칭 깊이의 정확성이 높은 결정은, 상기 개구부들 깊이의 간섭계 측정 동안 상기 마스킹층의 상부 표면에서 측정된 에칭 깊이를 참조하는 것과 관련한 부정확성을 보상하는 데이터 프로세싱에 의해 구해질 수 있다.
예 2
다음의 논의에 있어서, p-도핑된 실리콘 기판 상에서 질화실리콘 마스킹층 상의 폴리실리콘의 스택 구조의 만곡부 에칭은 고밀도 플라즈마 에칭장치를 사용하여 실행되었는데, 여기서 RF 에너지는 상기 플라즈마 반응장치에서 유도 결합된다.상기 만곡부 에칭 공정은 일련의 에칭백(etchback) 및 폴리실리콘 충진(filling) 단계들에서 실행되었다. 첫번째 에칭 단계에서, 상기 스택 구조는 p-도핑된 실리콘 기판상의 200nm 질화물 마스킹층상의 300nm 폴리실리콘층을 포함하였고, 각 만곡부는 ONO(오존 CVD) 칼라(collar)를 가졌고, 상기 첫번째 에칭 단계는 1000nm 이상의 타겟 만곡부 에칭을 달성하기 위해 실행되었다. 폴리실리콘은 에칭된 개구부들에서 디포지트되었고, 상기 마스킹층은 그 위에서 상기 스택 구조가 p-도핑된 실리콘 기판상의 질화물 마스킹층(첫번째 단계에서 150nm로 에칭되었던 것)상의 400nm 폴리실리콘층을 포함하는 폴리실리콘으로 덮여졌다. 두번째 에칭 단계에서, 상기 개구부들은 120±30nm의 타겟 깊이로 에칭되었다. 상기 에칭된 개구부들을 충진하고 상기 마스킹층을 덮기 위해 폴리실리콘을 디포지트한 후, 상기 구조는 그 위에서 상기 스택 구조가 p-도핑된 실리콘 기판상의 질화물 마스킹층(약 120nm로 폴리싱 되었던 것)을 포함하는 CMP에 의해 플래너화 되었다. 세번째 에칭 단계에서, 상기 개구부는 50±15nm의 타겟 깊이로 에칭되었다.
상기 세번째 에칭 단계전의 400nm 내지 500nm 스펙트럼 응답이 도 1에 나타나 있는데, 여기서 상기 폴리실리콘이 상기 CMP 공정에 의해 상기 마스킹층에서 제거되었기 때문에 그 커브는 투과필름, 즉 상기 질화실리콘 마스킹층의 존재를 표시한다. 450nm에서의 스펙트럼 응답 대 시간의 플롯이 도 2에 나타나 있는데, 여기서 커브는 본래 산화물이 제거된 약 20초에서의 스파이크(spike)를 도시하고, 에칭 가스 레시피가 상기 만곡부 에칭과 플라즈마를 위해 변화되는 동안 RF 에너지가 사라지는 평탄부가 안정화되고, 사인곡선 부분이 상기 마스킹층 상부와 상기 에칭된 개구부들 저부에서 반사된 결합 신호의 간섭계 패턴에 의해 생성되고, 약 70초에서의 스파이크는 상기 에칭 종료점을 표시한다.
전술한 첫번째 및 두번째 에칭 단계에서, 브레이크스루우, 벌크 에칭 및 만곡부 에칭 단계가 실행되었다. 상기 브레이크스루우 단계에서 본래의 산화물은 제거되었고, 상기 벌크 에칭 단계에서 상기 폴리실리콘은 상기 마스킹층에서 제거되었고, 그리고 상기 만곡부 에칭 단계에서 상기 개구부들은 첫번째 에칭 단계에서 1000nm 이상의 깊이로 두번째 에칭 단계에서 100nm 이상의 깊이로 에칭되었다. 첫번째 및 두번째 에칭 단계의 벌크 에칭 및 만곡부 에칭 부분에 대한 450nm 대 시간에서의 스펙트럼 강도 플롯이 도 3에 도시된 것과 유사할 것인데, 커브에서의 초기 급격한 상승은 플라즈마가 턴-온된 때를 표시하고, 10초 주변의 등선(hump)은 플라즈마의 안정화 단계가 이어지는 브레이크스루우 단계를 표시하고, 70초 주변의 사인곡선 신호의 모습은 잔류하는 폴리실리콘 필름을 모니터링 주파수(즉, 450nm)에서 투과시키는 벌크 에칭 동안 상기 폴리실리콘층이 에칭되어 버리는 때를 표시한다. 도 3에 도시된 바와 같이, 상기 폴리실리콘 필름이 더 얇아짐에 따라 상기 사인곡선 신호의 강도가 증가하게 되고, 90 내지 100초 주변 커브의 평탄부는 상기 만곡부 에칭을 위한 새로운 에칭 가스 화학물이 안정화되는 동안 RF 에너지가 사라질 때의 기간을 표시한다. 상기 커브의 평탄부 이전에, 상기 벌크 폴리실리콘 에칭 완료의 종료점 신호 특성이 생성되는데, 그 지점에서의 상기 에칭 가스 화학물은 만곡부 에칭 가스 화학물로 변화되고, 상기 마스킹층 두께 측정이 수행된다.
도 4는 450nm 및 500nm에 대한 스펙트럼 응답 커브를 나타낸다. 도시된 바와같이, 450nm 및 500nm에서의 상기 스펙트럼 응답은 더 긴 파장 500nm 라인이 450nm 라인 보다 더 앞서 침식된 폴리실리콘 필름의 투과성을 검출한다는 점에서 다르다. 이 같은 다중-주파수(multi-frequency) 데이터는 만곡부 에칭 단계에서 적합한 종료점을 결정하기 위해 본 발명에 따라서 구해진 다른 데이터와 같이 사용될 수 있다.
전술한 세번째 에칭 단계에서, 유일한 브레이크스루우 및 만곡부 에칭 단계들이 실행되었다. 상기 브레이크스루우 단계에서, 본래의 산화물은 제거되었고, 만곡부 에칭 동안 상기 마스킹층의 개구부들은 30nm 이상의 깊이로 에칭되었다. 450nm에 대한 스펙트럼 플롯이 도 2에 나타나 있는데, 커브에서의 초기 상승은 플라즈마에 에너지를 가하는 것을 표시하고, 첫번째 평탄부는 상기 플라즈마의 안정화를 표시하고, 약 20초에서의 스파이크는 상기 본래 산화물의 브레이크스루우를 표시하고, 20초와 40초 사이의 평탄부는 상기 만곡부 에칭 및 플라즈마의 안정화를 위한 에칭 가스 화학물의 변화를 표시하고, 35초 주변의 사인곡선 부분은 상기 개구부들의 에칭을 표시하고, 그리고 75초 주변의 스파이크는 에칭 종료점을 표시한다. 상기 첫번째 및 두번째 에칭 단계에서의 상기 마스킹층 두께 측정이 상기 만곡부 에칭 가스의 안정화 동안 수행될 수 있는 것에 반해, 상기 세번째 에칭 단계에서의 상기 마스킹층 두께 측정은 웨이퍼가 상기 플라즈마 챔버내로 첫번째로 도입될 때 측정될 수 있다.
본 발명이 반도체 기판으로서 웨이퍼를 기준으로 하여 설명되었지만, 본 발명의 공정은 에칭 깊이의 정확도가 요구되는 다른 반도체 기판들에도 적용가능하다. 예를 들면, 상기 기판들은 디스크 드라이브 구성요소들, 평판 표시 기판들 등을 포함할 수 있다.
지금까지 본 발명 작용의 원리들, 바람직한 실시예들 및 모드들이 상술되었다. 그러나, 본 발명은 논의된 특정한 실시예들에 한정되는 것으로 해석되지 말아야만 된다. 따라서, 상술한 실시예들은 한정적인 것이라기 보다는 예시적인 것으로 간주되어야만 하고, 변형물들이 이어지는 청구범위에 의해 정의된 바와 같은 본 발명의 범주에서 벗어남이 없이 당업자들에 의해 상기 실시예들에서 만들어 질 수 있다는 것이 이해되어야만 한다.

Claims (18)

  1. 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법에 있어서,
    마스킹층 및 에칭되는 재료를 함유하는 상기 마스킹층의 개구부들을 포함하는 반도체 기판을 플라즈마 챔버의 기판 지지부상에 지지하는 단계;
    상기 챔버에서 에칭 플라즈마를 생성함으로써 상기 마스킹층의 상기 개구부들의 재료를 에칭하는 단계;
    상기 마스킹층의 개구부들의 상기 재료를 에칭하기 전에 상기 마스킹층의 두께를 측정하는 단계;
    상기 마스킹층의 하부측과 관련한 타겟 에칭 깊이를 달성하기 위한 상기 에칭 단계의 기간을 제어하는 단계;
    상기 개구부들의 상기 타겟 에칭 깊이가 얻어졌을 때 상기 에칭 플라즈마를 소멸시키는 단계; 및
    상기 챔버에서 상기 기판을 이동시키는 단계;를 포함하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  2. 제 1 항에 있어서, 상기 측정 단계는 간섭계에 의해 실행되고, 상기 플라즈마는 고밀도 플라즈마인 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  3. 제 1 항에 있어서, 상기 두께 측정 단계는 상기 플라즈마내의 화학 종류가 검출될 때 실행되는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  4. 제 3 항에 있어서, 상기 마스킹층은 질화실리콘으로 이루어지고 상기 화학 종류는 염화실리콘이고, 또는 상기 마스킹층은 포토레지스트이고 상기 화학 종류는 CO인 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  5. 제 1 항에 있어서, 상기 두께 측정 단계 이전에 상기 마스킹층 위에 놓이는 재료층이 상기 마스킹층에서 제거되는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  6. 제 1 항에 있어서, 상기 에칭 단계 이전에 상기 마스킹층 위에 놓이는 재료층이 화학 기계적인 폴리싱에 의해 제거되는 것을 특징으로 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  7. 제 1 항에 있어서, 상기 개구부들의 재료는 상기 타겟 에칭 깊이에서 저지층을 포함하지 않는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  8. 제 1 항에 있어서, 상기 마스킹층은 필수적으로 질화실리콘으로 구성되고, 상기 개구부의 재료는 필수적으로 폴리실리콘으로 구성되는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  9. 제 1 항에 있어서, 상기 마스킹층은 2개 이상의 재료층 또는 포토레지스트를 포함하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 방법.
  10. 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치에 있어서,
    상기 챔버 내부에 에칭 가스를 공급하기 위한 가스 공급부를 포함하는 플라즈마 에칭 챔버;
    마스킹층 및 에칭되는 재료를 함유하는 상기 마스킹층의 개구부들을 포함하는 반도체 기판을 상기 플라즈마 챔버 내부에서 지지하는 기판 지지부;
    상기 에칭 가스에 에칭 단계 동안 상기 마스킹층의 개구부들의 재료를 에칭하는 플라즈마로 에너지를 인가하는 에너지 소스;
    상기 마스킹층의 두께를 측정하고 측정된 마스킹층 두께를 나타내는 신호를 상기 마스킹층 개구부들의 재료를 에칭하기 전에 출력하는 두께 측정 디바이스; 및
    상기 두께 측정 디바이스에서 출력된 상기 신호를 수신하고 상기 마스킹층 하부측과 관련한 타겟 에칭 깊이를 달성하기 위해 상기 에칭 단계를 자동적으로 조정하는 제어기;를 포함하고,
    상기 제어기는 상기 개구부들의 타겟 에칭 깊이가 얻어졌을 때 상기 에칭 단계를 종료하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  11. 제 10 항에 있어서, 상기 두께 측정 디바이스는 간섭계이고, 상기 에너지 소스는 고밀도 플라즈마인 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  12. 제 10 항에 있어서, 상기 마스킹층 위에 놓이는 재료층을 에칭하는 동안 상기 마스킹층의 상부 표면의 노출을 검출하는 검출기를 더 포함하고,
    상기 검출기는 상기 플라즈마의 화학 종류가 검출될 때 상기 제어기에 두께 측정 신호를 출력하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  13. 제 12 항에 있어서, 상기 마스킹층은 질화실리콘으로 이루어지고 상기 검출기에 의해 검출된 상기 화학 종류는 염화실리콘이고, 또는 상기 마스킹층은 포토레지스트이고 상기 검출기에 의해 검출된 상기 화학 종류는 CO인 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  14. 제 10 항에 있어서, 상기 두께 측정 디바이스는 상기 마스킹층 위에 놓이는 재료층을 제거하는 것을 포함하는 에칭 공정 동안 상기 두께를 측정하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  15. 제 10 항에 있어서, 상기 두께 측정 디바이스는 화학 기계적인 폴리싱이 된 마스킹층의 두께를 측정하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  16. 제 10 항에 있어서, 상기 제어기는 저지층이 상기 타겟 에칭 깊이에 존재하지 않는 상기 개구부들의 재료를 에칭하는 동안 상기 에칭 단계를 종료시키는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  17. 제 10 항에 있어서, 상기 마스킹층은 필수적으로 질화실리콘으로 이루어지고, 상기 개구부들의 재료는 필수적으로 폴리실리콘으로 이루어지는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
  18. 제 10 항에 있어서, 상기 마스킹층은 2개 이상의 재료층 또는 포토레지스트를 포함하는 것을 특징으로 하는 플라즈마 챔버에서 반도체 기판의 개구부들을 에칭하는 장치.
KR1020017004036A 1998-09-30 1999-09-24 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치 KR100659163B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/163,303 US6136712A (en) 1998-09-30 1998-09-30 Method and apparatus for improving accuracy of plasma etching process
US09/163,303 1998-09-30

Publications (2)

Publication Number Publication Date
KR20010075467A true KR20010075467A (ko) 2001-08-09
KR100659163B1 KR100659163B1 (ko) 2006-12-18

Family

ID=22589402

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017004036A KR100659163B1 (ko) 1998-09-30 1999-09-24 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치

Country Status (8)

Country Link
US (2) US6136712A (ko)
EP (1) EP1129478B1 (ko)
JP (1) JP4563584B2 (ko)
KR (1) KR100659163B1 (ko)
AU (1) AU6246499A (ko)
DE (1) DE69942983D1 (ko)
TW (1) TW452881B (ko)
WO (1) WO2000019505A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101469000B1 (ko) * 2013-07-17 2014-12-04 주식회사 엠엠테크 글라스 박형화 장치 및 그 방법

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6620631B1 (en) * 2000-05-18 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
AU2002240097A1 (en) * 2001-02-14 2002-08-28 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
KR100438379B1 (ko) * 2001-09-05 2004-07-02 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자제조공정의 종점판정방법과 장치 및 그것을사용한 피처리재의 처리방법과 장치
US6778268B1 (en) * 2001-10-09 2004-08-17 Advanced Micro Devices, Sinc. System and method for process monitoring of polysilicon etch
US7204934B1 (en) 2001-10-31 2007-04-17 Lam Research Corporation Method for planarization etch with in-situ monitoring by interferometry prior to recess etch
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7399711B2 (en) * 2002-08-13 2008-07-15 Lam Research Corporation Method for controlling a recess etch process
US7019844B2 (en) * 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
DE10255850B4 (de) * 2002-11-29 2007-12-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Halbleiterstrukturen unter Ausbildung einer Signalschicht zur Generierung charakteristischer optischer Plasmaemissionen und integrierter Schaltungschip
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US6979579B1 (en) * 2004-03-30 2005-12-27 Lam Research Corporation Methods and apparatus for inspecting contact openings in a plasma processing system
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7514277B2 (en) * 2004-09-14 2009-04-07 Tokyo Electron Limited Etching method and apparatus
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US20080066866A1 (en) * 2006-09-14 2008-03-20 Martin Kerber Method and apparatus for reducing plasma-induced damage in a semiconductor device
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
US7952708B2 (en) * 2007-04-02 2011-05-31 Applied Materials, Inc. High throughput measurement system
KR101307247B1 (ko) * 2012-09-26 2013-09-11 가톨릭대학교 산학협력단 보상구조물을 이용한 실리콘웨이퍼 에칭 방법 및 이를 이용한 에너지 하베스터 제조 방법
CN103107080B (zh) * 2013-01-11 2017-02-08 无锡华润上华半导体有限公司 一种解决深沟槽刻蚀工艺中圆片表面糊胶的刻蚀方法
US10998215B2 (en) * 2018-06-27 2021-05-04 Facebook Technologies, Llc Monitoring dry-etching of polymer layer for transferring semiconductor devices
US11830779B2 (en) 2020-08-12 2023-11-28 Applied Materials, Inc. In-situ etch material selectivity detection system

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328068A (en) * 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4367044A (en) * 1980-12-31 1983-01-04 International Business Machines Corp. Situ rate and depth monitor for silicon etching
US4376672A (en) * 1981-10-26 1983-03-15 Applied Materials, Inc. Materials and methods for plasma etching of oxides and nitrides of silicon
US4615761A (en) * 1985-03-15 1986-10-07 Hitachi, Ltd. Method of and apparatus for detecting an end point of plasma treatment
JPS6223113A (ja) * 1985-07-24 1987-01-31 Hitachi Ltd 終点検出方法
JPS62299032A (ja) * 1986-06-19 1987-12-26 Canon Inc エツチング監視装置
US4675072A (en) * 1986-06-25 1987-06-23 International Business Machines Corporation Trench etch endpoint detection by LIF
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPS63148675A (ja) * 1986-12-12 1988-06-21 Toshiba Corp 半導体装置
FR2616269B1 (fr) * 1987-06-04 1990-11-09 Labo Electronique Physique Dispositif de test pour la mise en oeuvre d'un procede de realisation de dispositifs semiconducteurs
US5045149A (en) * 1988-10-24 1991-09-03 Vlsi Technology, Inc. Method and apparatus for end point detection
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US5131752A (en) * 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04370929A (ja) * 1991-06-20 1992-12-24 Sharp Corp ドライエッチング方法
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JPH07221073A (ja) * 1994-01-31 1995-08-18 Sony Corp 基板のエッチング方法及びエッチング装置
US5465859A (en) * 1994-04-28 1995-11-14 International Business Machines Corporation Dual phase and hybrid phase shifting mask fabrication using a surface etch monitoring technique
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5747380A (en) * 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
US6034389A (en) * 1997-01-22 2000-03-07 International Business Machines Corporation Self-aligned diffused source vertical transistors with deep trench capacitors in a 4F-square memory cell array
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
JPH1112769A (ja) * 1997-06-27 1999-01-19 Canon Inc エッチング方法並びに装置及び回折光学素子の製造方法並びに装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101469000B1 (ko) * 2013-07-17 2014-12-04 주식회사 엠엠테크 글라스 박형화 장치 및 그 방법

Also Published As

Publication number Publication date
EP1129478B1 (en) 2010-11-24
DE69942983D1 (de) 2011-01-05
JP2002526918A (ja) 2002-08-20
AU6246499A (en) 2000-04-17
JP4563584B2 (ja) 2010-10-13
TW452881B (en) 2001-09-01
KR100659163B1 (ko) 2006-12-18
US6270622B1 (en) 2001-08-07
US6136712A (en) 2000-10-24
EP1129478A1 (en) 2001-09-05
WO2000019505A1 (en) 2000-04-06

Similar Documents

Publication Publication Date Title
KR100659163B1 (ko) 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치
US7230720B2 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
US6939811B2 (en) Apparatus and method for controlling etch depth
US5362356A (en) Plasma etching process control
US20050202575A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US7009715B2 (en) Method and apparatus for determining endpoint of semiconductor element fabricating process and method and apparatus for processing member to be processed
US20100133232A1 (en) Determining endpoint in a substrate process
KR100426988B1 (ko) 반도체 제조장비의 식각 종말점 검출장치 및 그에 따른검출방법
US6824813B1 (en) Substrate monitoring method and apparatus
JP3854810B2 (ja) 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
JP2002062115A (ja) 酸化銅の厚みを検出する方法及び装置
US6635573B2 (en) Method of detecting an endpoint during etching of a material within a recess
JP2006119145A (ja) 半導体ウエハの処理方法及び処理装置
McNevin et al. Diagnosing SiO 2 contact etch stop with optical emission
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
KR20070044531A (ko) 반도체 식각설비의 이피디케이블 연결감지장치
KR20030072031A (ko) 반도체 애싱설비의 스트립불량 감지장치 및 그 방법
KR20030087804A (ko) 반도체 애싱설비의 스트립불량 감지장치 및 그 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121127

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141125

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151125

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee