JP2002526918A - プラズマ・エッチング工程の精度を改善する方法および装置 - Google Patents

プラズマ・エッチング工程の精度を改善する方法および装置

Info

Publication number
JP2002526918A
JP2002526918A JP2000572914A JP2000572914A JP2002526918A JP 2002526918 A JP2002526918 A JP 2002526918A JP 2000572914 A JP2000572914 A JP 2000572914A JP 2000572914 A JP2000572914 A JP 2000572914A JP 2002526918 A JP2002526918 A JP 2002526918A
Authority
JP
Japan
Prior art keywords
etching
masking layer
opening
thickness
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000572914A
Other languages
English (en)
Other versions
JP4563584B2 (ja
Inventor
ウォルター, イー., ザ・セカンド クリッパート,
ヴィコルン, マルティン カダヴァニッヒ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2002526918A publication Critical patent/JP2002526918A/ja
Application granted granted Critical
Publication of JP4563584B2 publication Critical patent/JP4563584B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/22Measuring arrangements characterised by the use of optical techniques for measuring depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

(57)【要約】 本発明は、トレンチおよびくぼみエッチング工程のようなプラズマ・エッチング工程の精度を改善する工程および装置を提供する。そのような工程において、トレンチまたは凹部が、エッチングされる開口の所望深さに停止層を有しない材料の層にエッチングされる。或いは、そのようなエッチング工程は計算された設定時間の間実行されて、測定または推測されたエッチング速度にもとづいて所望エッチング深さを達成する。例えば、目標深さを達成するエッチング時間が、統計的解析またはインターフェロメトリーによる各深さのリアルタイム測定にもとづくことがある。しかし、推測エッチング速度の使用または所望エッチング深さを達成するためにエッチングを終止させる必要がある時を制御するインターフェロメトリーが、開口のエッチングが深過ぎるまたは浅過ぎることに起因する欠陥構造をもたらす。本発明によれば、マスキング層厚測定をエッチング工程の一部として行うことによってより再現可能なやり方でより正確なエッチング深さを達成する方法で、エッチング工程を制御する技術が提供される。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は、プラズマ・エッチング工程およびその精度を改善する装置に関する
【0002】 (発明の背景) 集積回路製造における一般的要求の1つが、導電性、半導体、および誘電性の
材料を含むことがある材料の積層におけるトレンチ、凹部(recess)、コンタクト
、およびヴィアのような開口のエッチングである。誘電性材料は、フッ化酸化シ
リコン(Fluorinatedsilicon oxide;FSG)のようなドープされた酸化シリコ
ン、2酸化シリコンのようなドープされない酸化シリコン、硼素燐酸珪酸塩ガラ
ス(boronphosphate silicate glass;BPSG)および燐酸珪酸塩ガラス(phos
phate silicate glass;PSG)のような珪酸塩ガラス、ドープされ又はドープ
されていない熱成長酸化シリコン、ドープされ又はドープされていないTEOS
堆積酸化シリコンなどを含む。誘電性ドーパントは硼素、燐、および/または砒
素を含む。導電性または半導体の材料は、多結晶シリコン、アルミニウム、銅、
チタニウム、タングステン、モリブデン等の金属またはそれらの合金、窒化チタ
ニウムのような窒化物、珪酸チタニウム、珪酸コバルト、珪酸タングステン、珪
酸モリブデンのような珪酸金属などを含む。
【0003】 酸化シリコンに開口をエッチングする様々なプラズマ・エッチング技術が、米
国特許第5,013,398号、第5,013,400号、第5,021,12
1号、第5,022,958号、第5,269,879号、第5,529,65
7号、第5,595,627号、第5,611,888号、および第5,780
,338号に開示されている。プラズマ・エッチングは、’398号特許に記述
された平行板プラズマ・リアクタ・チャンバまたは’400号特許に記述された
3極型リアクタのような中密度リアクタ、あるいは’657号特許に記述された
誘導結合プラズマ・リアクタのような高密度リアクタ内で実行され得る。
【0004】 集積回路処理は、大きくなるウエハー・サイズ上の小さくなる形状をしっかり
と制御することを必要とする。この結果、そのような製造工程における監視コス
トは、インライン式監視装置(in-line monitoring equipment)および技術の使用
にもかかわらず増大している。デバイス形状がより小さくなるにつれて、欠陥の
無い集積回路構造を達成する目的でエッチング工程を改善するために、かなりの
努力が振り向けられた。例えば、米国特許第5,131,752号、第5,36
2,356号、および第5,450,205号を参照されたい。
【0005】 プラズマ・エッチング工程において正確な深さを達成する上での1つの問題は
、マスク厚のばらつきに起因する。そのようなマスク厚のばらつきは、エッチン
グ工程前に行われる化学機械研磨(CMP)に起因し得る。そのような研磨工程
はマスクに対して目標の厚さを提供し得るが、処理されるウエハー間のマスキン
グ層厚にある程度のばらつきを生じさせ得る。干渉計を用いてエッチング深さを
インサイチュー(in situ)で決定することによって目標のエッチング深さが制
御されるエッチング工程において、ウエハー間のマスク厚のばらつきが、マスキ
ング層の下の層におけるヴィア、コンタクト、トレンチなどの開口のオーバーエ
ッチング又はアンダーエッチングの一因となり得る。
【0006】 プラズマ・チャンバ内の物質の放射を解析することによってプラズマ・チャン
バ内のエッチング工程の終了点を監視することが知られている。一般に、例えば
、米国特許第4,615,761号に開示されているように、これは、反応が終
了する時を確かめるためにプラズマ反応物のうちの選択された1つに対応する放
射量を監視することを伴う。米国特許第5,045,149号は、第2の材料の
上の第1の材料をエッチングする工程の終了点を検出する方法および装置を開示
している。プラズマ・エッチング工程の光学放射強度が、第1および第2の信号
をそれぞれ発生する正フィルタおよび負フィルタによって同時に監視されて、第
1および第2の信号が結合されて結合信号を生ずる。結合信号は、第1の材料が
エッチングで取り除かれて第2の材料が露出することを示す変化を検出するため
に監視される。
【0007】 米国特許第5,450,205号は、プラズマ処理中のウエハーを見る電荷結
合素子(CCD)カメラによって、ウエハーのエッチングまたはウエハー上への
薄膜の堆積を監視することを開示している。ウエハーから反射されるプラズマ放
射またはレーザー照射が、ウエハーのエッチングまたは堆積中にインターフェロ
メトリーに起因する時間的変化を示し、エッチングまたは堆積の工程の終了点を
監視するために使用される。
【0008】 米国特許第5,413,966号は、トレンチ・エッチング工程を開示してい
る。この工程では、ドープされ又はドープされていない多結晶シリコンの上層と
、2酸化シリコン又は窒化シリコンの下層とからトレンチ・マスクが形成される
。2つの層は、第1のエッチング・ステップでパターン化されて、下にあるシリ
コン基板にトレンチをエッチングするためのトレンチ・マスクを形成する。上層
は、エッチングすべきトレンチの深さに相当する厚さに堆積される。トレンチ・
エッチングの終了点は、多結晶シリコンが除去されてマスクの下層が露出するこ
とによって提供される。そのような工程において、同一のエッチング条件で処理
される1バッチ分のウエハーにおける1つのウエハーと次のウエハーとの上層厚
のばらつき(例えば、堆積工程または化学機械研磨のような厚さ削減工程のばら
つきに因る)が、意図した使用には深過ぎるか又は浅過ぎるトレンチをもたらす
だろう。さらに、物質が、質量分析などによる気体の監視を可能にする停止層を
含まない場合は、所望エッチング深さを達成するエッチング工程のインサイチュ
ー測定およびリアルタイム制御が問題となる。
【0009】 米国特許第5,807,761号は、トレンチ形成工程用のリアルタイムかつ
インサイチューの監視方法を開示している。この方法によれば、インターフェロ
メトリーが、エッチング工程中のエッチング深さを監視するために使用される。
しかし、インターフェロメトリーはエッチングされている構造の上部に対するエ
ッチング深さを提供するだけなので、エッチングの終了点を制御するそのような
測定の使用は不正確な結果を生じさせ得る。マスキング層内の開口をエッチング
する場合において、マスキング層が開口と共にエッチングされるのであれば、エ
ッチング前のマスキング層厚のばらつきに対する補償がエッチング深さの測定で
考慮されないので、インターフェロメトリーによって提供されるエッチング深さ
の測定は、時限式エッチング(timed etch)を改善する可能性を備えているものの
、仕様から外れたエッチング深さを防止するのに十分でないことがある。
【0010】 商用製造技術がより小さなデバイス形状を採用するので、大量生産中に1つの
ウエハーと次のウエハーにおいて正確なエッチング深さを達成することがいつも
重要となる。目標エッチング深さを達成するために時限式エッチング(timed etc
h)を使用する従来技術は、もはや大量生産に適合しない。マスキング層の下側に
対する実際のエッチング深さの小さなばらつきさえも、開口が深過ぎるかまたは
浅過ぎる場合には、動作不能なデバイスを生じ得る。
【0011】 (発明の概要) 本発明は、プラズマ・チャンバ内で半導体基板に開口をエッチングする方法を
提供する。この方法は、プラズマ・チャンバ内で基板支持台上の半導体基板(半
導体基板は、マスキング層と、エッチングされる材料を含む該マスキング層内の
開口を含む)を支持するステップと、プラズマ・チャンバ内でエッチング・プラ
ズマを発生することによって、マスキング層の開口中の材料をエッチングするス
テップと、マスキング層内の開口中の材料のエッチングを完了するのに先立って
マスキング層の厚さを測定するステップと、マスキング層の下側に対する目標エ
ッチング深さを達成するようにエッチング・ステップの期間を制御するステップ
と、開口の目標エッチング深さが得られたときにエッチング・プラズマを消すス
テップと、基板をチャンバから取り出すステップとを含む。
【0012】 本発明の1つの態様によれば、測定ステップはインターフェロメトリーによっ
て実行可能であり、および/または、厚さ測定ステップは塩化シリコンまたはC
Оのようなプラズマ中の化学種が検出されたときに実行可能である。別の態様に
よれば、マスキング層に重なった材料の層が、厚さ測定ステップに先立ってマス
キング層から取除かれる。例えば、マスキング層に重なった材料の層は、エッチ
ング工程に先立って化学機械研磨によって除去され得る。本発明の方法は、開口
内の材料が目標エッチング深さに停止層を含まないエッチング動作で有利である
。好ましい実施形態では、マスキング層は実質的に窒化シリコンから構成されて
おり、開口内の材料は実質的に多結晶シリコンから構成されている。しかし、マ
スキング層は2つ以上の材料層またはフォトレジストを含むことができる。
【0013】 また、本発明はプラズマ・チャンバ内で半導体基板に開口をエッチングする装
置を提供する。この装置は、その内部(プラズマ・エッチング・チャンバの内部
)にエッチング・ガスを供給するガス供給源を含むプラズマ・エッチング・チャ
ンバと、プラズマ・チャンバの内部で半導体基板(これは、マスキング層と、エ
ッチングされる材料を含む該マスキング層内の開口とを含む)を支持する基板支
持台と、エッチング・ガスにエネルギーを与えて、該エッチング・ガスを、エッ
チング・ステップ中にマスキング層の材料をエッチングするプラズマにするエネ
ルギー源と、マスキング層内の開口中の材料のエッチングを完了するのに先立っ
て、マスキング層の厚さを測定して、測定されたマスキング層厚さを表す信号を
出力する厚さ測定デバイスと、厚さ測定デバイスから出力される信号を受取り、
マスキング層の下側に対する目標エッチング深さを達成するようにエッチング・
ステップを自動的に調整するコントローラであって、開口の目標エッチング深さ
が得られたときにエッチング・ステップを終了させるコントローラとを備える。
【0014】 本発明の1つの態様によれば、厚さ測定デバイスはインターフェロメトリーで
あり、および/または、この装置はマスキング層に重なった材料の層のエッチン
グ中にマスキング層の上面の露出を検出する検出器をさらに備える。検出器はプ
ラズマ中の化学種が検出されたときに厚さ測定信号をコントローラに出力する。
化学種は、マスキング層が窒化シリコンを含み開口中の材料が多結晶シリコンで
ある場合には塩化シリコンであり得るし、マスキング層がフォトレジストである
場合にはCОであり得る。1つの実施形態によれば、厚さ測定デバイスは、マス
キング層に重なった材料の層の除去を含むエッチング工程中にマスキング層の厚
さを測定する。別の実施形態によれば、厚さ測定デバイスは化学機械研磨によっ
て平坦化されたマスキング層の厚さを測定する。さらに別の実施形態によれば、
コントローラは、目標エッチング深さに停止層が存在しない開口中の材料のエッ
チング中にエッチング・ステップを終了させる。
【0015】 本発明の特長および利点が、類似の数字が類似の要素を示す図面と共に詳細な
説明を読むことによってより良く理解される。
【0016】 (好ましい実施形態の詳細な説明) 本発明は、トレンチおよび凹部のエッチング工程のようなプラズマ・エッチン
グ工程の精度を改善する工程を提供する。そのような工程では、トレンチまたは
凹部は、エッチングされる開口の所望深さに停止層を有しない材料の層にエッチ
ングされる。或いは、そのようなエッチング工程は、所望エッチング深さを達成
するように測定又は推測されたエッチング速度に基づいて計算された設定時間の
間実行される。例えば、目標深さを達成するエッチング時間が、統計的解析、ま
たはインターフェロメトリーによるエッチング深さのリアルタイム測定に基づく
ことがある。しかし、所望エッチング深さを達成するためにエッチングをいつ終
了させるかを制御するために推測エッチング速度又はインターフェロメトリーを
使用することは、開口のエッチングが深過ぎたり浅過ぎたりすることに起因する
欠陥構造をもたらす。本発明によれば、より再現可能なやり方でより正確なエッ
チング深さを達成する方法でエッチング工程を制御する技術が提供される。
【0017】 本発明によれば、エッチング工程は、より正確なエッチング深さが得られるこ
とを可能にする方法で監視される。そのエッチング工程は、低、中、または高密
度プラズマ・リアクタ内で実行可能であり、あらゆる適切な膜厚監視装置及びエ
ッチング深さ監視装置が本発明に従って使用され得る。膜厚測定用の装置および
データ処理ソフトウェアが、Rudolph Technologies,In
c.、Prometrix、Leybold、Sofie、SC Techno
logyなどの様々な会社から提供されている。次の例で、エッチング工程は、
LAM Research Corporationから提供されている940
0PTXTM高密度プラズマ・エッチャで実行されて、膜厚およびエッチング深
さの測定が、SC Technology,Inc.から提供されているインス
ペクタ3000干渉膜厚およびエッチング深さ監視システムを使用して得られた
【0018】 本発明は、単一ウエハーエッチャにおける1バッチ分のウエハーの処理中にウ
エハー間のマスキング層厚のばらつきを補償するリアルタイム・エッチング深さ
監視装置を提供する。本発明によれば、マスキング層の厚さは、マスキング層内
の開口をエッチングするのに先立って各ウエハーのマスキング層厚を測定する自
動化された工程によって決定される。マスキング層は、好ましくは、窒化物また
は酸化物のような材料から成るハードマスクである。エッチングに先立って半導
体基板にCMPを施してマスキング層上の層を除去するエッチング工程において
、CMP後のマスキング層の厚さにばらつきがあるので、エッチング深さを決定
するためにインターフェロメトリーを使用すると、不正確が結果となる。これは
、マスクの上面が形状基準として使用されるからである。本発明によってエッチ
ング深さをより正確に決定するために、CMP後のマスキング層の厚さは、干渉
技術によって終了点を決定する凹部のエッチング(interferometric endpoint et
ch of the recess)においてエッチング深さを補正するために使用される。これ
は、ソフトウェア・ルーチンを外部終了点システムのソフトウェア(externalend
point system software)またはエッチャ・ソフトウェア自体に加えることによっ
て達成され得る。マスク厚測定は、補正が有用であろう他のインライン測定にも
使用され得る。
【0019】 マスキング層厚測定は、標準的な干渉技術によって行われて、次の、または残
りのエッチング工程中に基準点として使用され得る。例えば、ウエハーがプラズ
マチャンバに入り、静電チャックのような適当な基板支持台上に留められた後に
、マスキング層の厚さ測定が、干渉システムのようないずれかの適当な技術によ
って実行される。干渉システムはウエハーからの干渉信号パターンを記録可能で
あり、信号の形がウエハー上に在る透明膜の光学的係数および厚さによって決定
され得る。一番上の膜が照射光の波長範囲で透過性でなくて、ウエハー表面が平
らである場合は、どんな信号パターンも見えないだろう。一番上の膜がその波長
範囲で透過性である場合は、本発明の工程はエッチング深さ測定の精度を向上す
るために使用され得る。
【0020】 本発明は、トレンチおよび凹部のエッチング工程のようなプラズマ・エッチン
グ工程の精度を向上することができる工程を提供する。そのような工程では、ト
レンチまたは凹部が、エッチングされる開口の所望深さに停止層を有しない材料
の層にエッチングされる。或いは、そのようなエッチング工程は、所望エッチン
グ深さを達成すべく測定または推測されたエッチング速度に基づいて計算された
設定時間の間実行される。例えば、目標深さを達成するエッチング時間が、統計
的解析、またはインターフェロメトリーによるエッチング深さのリアルタイム測
定に基づくことがある。しかし、所望エッチング深さを達成するためにエッチン
グをいつ終了させるかを制御するために推測エッチング速度またはインターフェ
ロメトリーを使用すると、開口のエッチングが深過ぎたり浅過ぎたりすることに
起因する欠陥構造をもたらす。本発明によれば、より再現可能なやり方でより正
確なエッチング深さを達成する方法でエッチング工程を制御する技術が提供され
る。照射光から、ある種の正弦波パターンが照射光波長に対する反射強度のグラ
フに現れるだろう。このパターンの形は、膜の厚さ、この膜の下の膜の透明また
は不透明、下に横たわる膜の厚さ、およびすべての膜の光学的係数の関数となる
だろう。下に横たわる膜の厚さおよび光学的係数ならびに上方の膜の光学的係数
が知られている場合は、上方の膜の所定厚さに対して理論的信号を構成すること
が可能である。上方の膜について入力厚さを変えることによって、波長に対する
反射強度の実際のパターンによく合致するパターンを生成することが可能である
。この合致は、上方の膜についての入力厚さが上方の膜の実際の厚さに等しくな
る時点で起きるだろう。本発明によれば、膜厚を測定する上述の技術は、処理さ
れている各ウエハーについてエッチング・ステップの終了点を補償する自動化さ
れた工程で使用され得る。この結果、より正確なエッチング深さを得ることがで
き、また、生産効率を改善することができる。これは、CMPに続いてマスク厚
のオフライン測定を行って、1バッチ分のウエハーのエッチング中に起きそうな
該1バッチ分のウエハーについてのマスク厚の範囲に適応するように時限式エッ
チングの期間を推測する必要性を無くすことができるからである。
【0021】 本発明による工程は、マスキング層によって覆われない領域がエッチングされ
ると同時に該マスキング層がエッチングされるエッチング工程のより正確な終了
点を決定する際に有用である。そのような工程では、エッチング方法が、マスキ
ング層によって覆われない領域より遅い速度で該マスキング層をエッチングする
ように設計される。エッチング工程中、干渉計がマスキング層の上面及び下面か
らの反射光、マスキング層の下の膜、およびエッチングされる凹部の底面からの
反射光を見ているので、干渉計によって見える信号が変化するだろう。一番上の
膜のエッチング速度が該一番上の膜によってマスクされる膜のエッチング速度に
比較して知られている場合は、一番上の膜がどの程度エッチング工程によって時
の経過とともに除去されるかを推測することが可能である。一番上の膜またはマ
スク、および下に横たわる膜によって生成される信号が、全信号から引かれ得る
。これは膜信号および凹部の底面からの信号の合成である。このようにして、凹
部の深さをより正確に決定することができる。
【0022】 従来の干渉技術による凹部/トレンチ・エッチング深さ測定において、エッチ
ングされる開口の深さはマスキング層の上部に関係する。実際のマスク厚が推測
されるマスク厚に等しいという統計的可能性があるけれども、それは、マスキン
グ層の厚さがある程度変化し得る製造工程の変動に因るところが大きい。インタ
ーフェロメトリーによって測定されるエッチング深さをマスキング層の上部を基
準として参照することによって、高精度を要するデバイス構造において、開口の
底とマスキング層の上部との間の距離の関数としてのエッチング工程の終了は、
エッチング深さの要件を満足しないエッチング深さを生じさせ得る。これは、特
に、マスキング層が推測されたものよりも薄い場合に問題である。より正確なエ
ッチング深さの決定は、測定されるエッチング深さをマスキング層の上部ではな
くマスキング層の底を基準として参照することによって得られる。マスキング層
が、ハード・マスクが除去される場合に停止層として機能する酸化物パッドの上
の当該ハード・マスクである場合でさえも、マスキング層の底は重要な基準点と
して役立つ。
【0023】 本発明によれば、マスキング層厚測定に様々な光の波長を使用することが有用
であることがある。例えば、光の波長が長ければ長いほど、膜はより透明である
ように見える。しかし、浅い凹部/トレンチ・エッチング(即ち、200〜30
0nmの目標深さ)では、浅いエッチング深さを測定するために、100〜15
0nmのオーダーのより短い波長を使用することが必要なことがある。そのよう
な短い波長では、マスクが測定光を通さないように見えることがある。この場合
、単一の波長だけを使用すると、マスク厚を決定するためにインサイチューで解
析され得るマスク層の底によって発生させられる信号がなくなるであろう。本発
明によれば、マスク厚は、波長のスペクトラム、即ち周波数範囲によって生成さ
れるパターンを調べることによって、固定点で、遅延なしに測定され得る。
【0024】 マスキング層に重なった層がバルク・エッチング・ステップによって完全に除
去されない場合には、該マスキング層上の残渣を完全に除去するようにエッチン
グ工程を変更することによって、マスキング層厚測定の精度をさらに改善するこ
とが望ましい。例えば、マスキング層厚測定を凹部エッチングが開始される後ま
で遅らせたり、バルク・エッチングをブラズマの化学種を監視することによって
発生させられる終了点信号の後も数秒程度の短い時間だけ継続させることができ
る。例えば、凹部エッチングは、厚さ測定の精度を改善するために残渣を除くの
に十分な時間に開始されて、厚さ測定は、RFエネルギーが止められる安定化ス
テップ中に実行され、凹部エッチングは、測定が完了した後に完了され得る。マ
スキング層から残渣を除く工程ルーチンは、次のステップを含み得る。即ち、自
然酸化物のブレークスルーのためのガス安定(プラズマなし)、自然酸化物を除
去するブレークスルー、バルク・エッチングのためのガス安定(プラズマなし)
、化学種の強度の低下によって監視される終了点を有するバルク・エッチング、
バルク・オーバーエッチングのためのガス安定、バルク・エッチングで残された
残渣がちょうど凹部エッチングが始まるところまで除かれる時間までのオーバー
エッチング、凹部エッチングのためのガス安定(プラズマなし)、マスキング層
の厚さ測定、および測定されたエッチング深さを測定されたマスク厚さ(凹部エ
ッチング中の厚さの減少を調整されたもの)と比較するインターフェロメトリー
に基づく終了点を有する凹部エッチング。
【0025】 以上をまとめると、エッチング深さの精度の向上、ウエハーがプラズマ・チャ
ンバに入る前のマスク厚のオフライン測定ステップを無くすことによる生産効率
の向上、個別ウエハーのベースでエッチング方法を手動で変更する必要を無くす
ことによる生産効率の向上などの様々な利点が、本発明の工程によって得られる
。本発明の工程によって、エッチング終了点がインサイチューで自動的に制御さ
れ得るので、本発明は、統計的に導出される推測マスク厚および推測エッチング
速度に基づく時限式エッチング工程に対して大きな利点を提供する。
【0026】 次の例が、どのように本発明が半導体製造工程で実施されるかを説明する目的
に供される。しかし、本発明は、その利点から利益を得ることができる他の工程
でも具体化され得る。 例1 本発明の方法は、凹部エッチング工程で次のように実施され得る。そのような
工程で、その上(基板の上)にマスキング層を有する基板に開口がエッチングさ
れる。マスキング層は基板に形成される開口に対応する所望パターンの開口を有
する。本発明によれば、マスキング層の厚さは開口をエッチングするのに先立っ
て測定されて、その厚さはエッチング・ステップの時間を制御するのに使用され
る。インターフェロメトリーによるマスキング層厚の決定は、マスキング層が単
一の材料の層である場合には単純化される。これは厚さの計算が単一の材料の層
の屈折率に依存するからである。マスキング層が2つ以上の層から構成される場
合には、マスキング層厚の計算が様々な層の異なる屈折率によって複雑にされる
けれども、それでもなおマスキング層の厚さを計算するためにインターフェロメ
トリーを使用することは可能である。
【0027】 次の議論においては、2酸化シリコン・マスキング層を通しての多結晶シリコ
ンの凹部エッチングが、RFエネルギーがプラズマ・リアクタ中に誘導結合され
る高密度プラズマ・エッチャを使用して実行された。エッチング工程は、ウエハ
ー上の自然酸化物が除去されるブレークスルー・ステップと、マスキング層の上
の材料が除かれるバルク・エッチング・ステップと、マスキング層内の開口が目
標深さにエッチングされる凹部エッチング・ステップとを含んでいた。
【0028】 図1に示されるグラフに類似するスペクトル・グラフが、本発明に従ってマス
キング層厚測定に使用するデータを発生するのに使用され得る。特に、図1に示
される400〜500nmスペクトル・グラフが、マスキング層内の開口をエッ
チングするのに先立ってマスキング層の厚さを計算するソフトウェア・プログラ
ムを開発するために使用され得る。しかし、類似の情報が、10〜1000nm
の範囲のような異なるスペクトラル範囲において得られる。ソフトウェア・プロ
グラムは、格納されている曲線プロフィールを測定された曲線プロフィールと照
合することによって、マスキング層がエッチング・プラズマによって侵食される
前の時点でマスキング層の厚さを導出するのに使用され得る。好ましい方法は、
適切に開発されたソフトウェアで、マスキング層内の開口をエッチングするのに
先立ってマスキング層厚を測定することであるけれども、マスキング層厚測定は
、マスキング層がエッチング・プラズマによって侵食されている時に、1または
複数回、曲線照合工程を実行することによって、開口のエッチング中においても
得られる。即ち、ソフトウェア・プログラムは、マスキング層の侵食中に実際の
マスキング層厚を監視し、記録された厚さ測定を用いてマスキング層の下面に対
する測定エッチング深さをより正確に決定するために使用され得る。
【0029】 本発明の好ましい実施形態によれば、マスキング層の厚さは、多結晶シリコン
がマスキング層に至るまでエッチングされたときにインターフェロメトリーによ
って測定される。例えば、プラズマ中の化学種(即ち、塩化シリコン)を監視す
ることが可能であり、監視されているプラズマ中の化学種が、マスキング層に重
なっている層がマスキング層に至るまでエッチングされたことを示したときに、
マスキング層の厚さを測定することが可能である。エッチング工程における凹部
エッチングの部分で、開口の深さをインターフェロメトリーによって測定するこ
とができる。開口がマスキング層の下面を基準として正確なエッチング深さとな
るようにエッチング工程を終了させる時を決定するために、目標エッチング深さ
にいつ達したのかを計算すべくコンピュータが使用され得る。この際、コンピュ
ータは、(1)同時にエッチングされたマスキング層の面に対する開口の測定深
さ、(2)マスキング層のエッチング速度、および(3)事前に測定されたマス
キング層の厚さを考慮する。基本的に、マスキング層の下面に対するエッチング
深さの非常に正確な決定が、開口の深さの干渉計による測定において測定エッチ
ング深さがマスキング層の上面を基準とすることに関連する不正確さを補償する
データ処理によって得られる。 例2 次の議論においては、p型をドープしたシリコン基板上に窒化シリコン・マス
キング層を有し、その上の多結晶シリコンを有するスタック構造の凹部エッチン
グは、RFエネルギーがプラズマ・リアクタ内に誘導結合される高密度プラズマ
・エッチャを使用して実行された。凹部エッチング工程は、一連のエッチバック
・ステップおよび多結晶充填ステップで実行された。第1のエッチング・ステッ
プで、スタック構造はp型をドープしたシリコン基板上の200nmの窒化物マ
スキング層の上の300nmの多結晶シリコン層を含み、各凹部がОNО(オゾ
ンCVD)カラー(collar)を有し、そして第1のエッチング・ステップは100
0nmを超える目標凹部エッチングを達成するために実行された。多結晶シリコ
ン層がエッチングされた開口内に堆積されて、マスキング層が多結晶シリコンで
覆われた。ここで、マスキング層の上のスタック構造は、p型をドープしたシリ
コン基板上の窒化物マスキング層(第1のステップで150nmにエッチングさ
れたもの)の上の400nm多結晶シリコン層を含む第2のエッチング・ステッ
プで、開口は120±30nmの目標深さにエッチングされた。エッチングされ
た開口を充填しマスキング層を覆うように多結晶シリコンを堆積した後に、その
構造がCMPにより平坦化された。ここで、その積層構造は、p型をドープした
シリコン基板上に窒化物マスキング層(これは、約120nmに研磨された)を
含む。第3のエッチング・ステップで、開口は50±15nmの目標深さにエッ
チングされた。
【0030】 第3のエッチング・ステップ前の400nm〜500nmスペクトラム応答が
図1に示されている。ここで、多結晶シリコンがCMP工程によってマスキング
層から除かれたので、曲線は、透明な膜、すなわち窒化シリコン・マスキング層
の存在を示している。時間に対する450nmにおけるスペクトラム応答のグラ
フが図2に示されている。その曲線は、自然酸化物が除去される約20秒のスパ
イク、エッチングガス配合が凹部エッチング用に変更されてブラズマが安定化さ
れている一方でRFエネルギーが止められる平らな部分、マスキング層の上面お
よびエッチングされた開口の底面から反射される結合信号の干渉パターンによっ
て生成される正弦曲線の部分、およびエッチング終了点を示す約70秒のスパイ
クを示している。
【0031】 上述の第1および第2のエッチング・ステップにおいて、ブレークスルー・ス
テップ、バルク・エッチング・ステップおよびくぼみエッチング・ステップが実
行された。ブレークスルー・ステップで自然酸化物が除去されて、バルク・エッ
チング・ステップで多結晶シリコンがマスキング層から除かれて、そして凹部エ
ッチング・ステップで開口が第1のエッチング・ステップにおいて1000nm
を超える深さに、かつ第2のエッチング・ステップにおいて100nmを超える
深さにエッチングされた。第1および第2のエッチング・ステップのバルク・エ
ッチングおよび凹部エッチング部分に対する450nmにおけるスペクトラム強
度対時間のグラフが、図3に示されるグラフと類似するだろう。図3では、曲線
の最初の鋭い立ち上がりが、プラズマが起動された時を示し、約10秒の突出部
が、プラズマ安定化テップがその後に続くブレークスルー・ステップを示し、そ
して約70秒の正弦波信号の出現が、バルク・エッチング中に多結晶シリコンが
エッチングされて除かれて、残る多結晶シリコン膜が監視周波数(すなわち、4
50nm)を通過させる時を示す。図3に示されるように、多結晶シリコン膜が
薄くなるに従って正弦波信号の強度が増大し、約90〜100秒の曲線の平らな
部分が、凹部エッチング用の新しいエッチング・ガス化学作用が安定化される一
方でRFエネルギーが止められる期間を示す。曲線の平らな部分に先立って、バ
ルク多結晶シリコン・エッチングの終了を特徴付ける終了点信号が生成されて、
この時点で、エッチング・ガス化学作用が凹部エッチング・ガス化学作用に変更
されて、マスキング層厚測定が実施される。
【0032】 図4は、450nmおよび500nmに対するスペクトラム応答を示す。図示
のように、450nmおよび500nmにおけるスペクトラム応答は、長い波長
500nmラインが侵食された多結晶シリコン膜の透明性を450nmラインよ
りも早く検出するという点で異なっている。そのような多周波データは、本発明
に従って得られる他のデータと共に使用されて、凹部エッチング・ステップに対
する適当な終了点を決定することができる。
【0033】 上述の第3のエッチング・ステップでは、ブレークスルー・ステップおよび凹
部エッチング・ステップだけが実行された。ブレークスルー・ステップにおいて
、自然酸化物は除去されて、凹部エッチング中にマスキング層内の開口は30n
mを超える深さにエッチングされる。450nmについてのスペクトラム・グラ
ムが図2に示されている。図2では、曲線の最初の立ち上がりはプラズマの作動
を示し、第1の平らな部分はプラズマの安定化を示し、約20秒のスパイクは自
然酸化物のブレークスルーを示し、20秒と40秒との間の平らな部分は凹部エ
ッチングのためのエッチング・ガス化学作用の変更およびプラズマの安定化を示
し、約35秒の正弦曲線部分は開口のエッチングを示し、そして約75秒のスパ
イクはエッチング終了点を示す。第1および第2のエッチング・ステップではマ
スキング層厚測定が凹部エッチング・ガスの安定化中に実施され得るのに対して
、第3のエッチング・ステップではマスキング層厚測定はウエハーがプラズマ室
内に初めて導入されるときに行われ得る。
【0034】 本発明は半導体基板としてウエハーを参照して説明されたけれども、本発明の
工程はエッチング深さの精度が要求される他の半導体基板に適用できる。例えば
、そのような基板は、ディスク・ドライブ・コンポーネント、フラット・パネル
表示基板などを含む。
【0035】 本発明の原理、好ましい実施形態およびモードが上述された。しかし、本発明
は、論じられた特定の実施形態に限定されるとして解釈されるべきではない。し
たがって、上述の実施形態は制限するというよりも例示しているとみなすべきで
あり、また、当業者によって、特許請求の範囲で定義された本発明の範囲から逸
脱しない範囲で、それらの実施形態が変更され得ることが理解されるべきである
【図面の簡単な説明】
【図1】 400〜500nmのスペクトル範囲についてのインターフェロメトリーによ
って得られる曲線を示す図であって、この曲線は、透明膜の存在を示し、本発明
に従ってマスキング層厚さを測定するソフトウェア・プログラムを開発するため
に有用である。
【図2】 化学機械研磨によって露出された窒化シリコン・マスキング層を有するウエハ
ー上で測定された450nmについてのスペクトル応答を示す図であって、この
曲線は、本発明に従うブレークスルー・ステップおよび凹部エッチング・ステッ
プを示す。
【図3】 窒化シリコン・マスキング層に重なった多結晶シリコンの層を有するウエハー
上で測定された450nmについてのスペクトル応答を示す図であって、この曲
線は、本発明に従うブレークスルー・ステップ、バルク・エッチング・ステップ
および凹部エッチング・ステップを示。
【図4】 窒化シリコン・マスキング層に重なった多結晶シリコンの層を有するウエハー
上で測定された450nmおよび500nmについての多周波スペクトル応答を
示し、この曲線は、本発明によるブレークスルー・ステップ、バルク・エッチン
グ・ステップおよび凹部エッチング・ステップを示す図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) // B81C 1/00 H01L 21/302 E (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C R,CU,CZ,DE,DK,DM,EE,ES,FI ,GB,GD,GE,GH,GM,HR,HU,ID, IL,IN,IS,JP,KE,KG,KP,KR,K Z,LC,LK,LR,LS,LT,LU,LV,MD ,MG,MK,MN,MW,MX,NO,NZ,PL, PT,RO,RU,SD,SE,SG,SI,SK,S L,TJ,TM,TR,TT,TZ,UA,UG,UZ ,VN,YU,ZA,ZW (72)発明者 カダヴァニッヒ, ヴィコルン, マルテ ィン ドイツ国 バイエリッシュ ゲーマイン D−83457, フォイヤーヴェーアハイム シュトラーセ 17 Fターム(参考) 2F065 AA25 AA30 BB01 BB02 CC19 CC32 FF51 4G075 AA30 AA61 AA65 BC06 CA47 EC30 FB02 FC04 5F004 AA16 CB02 CB03 CB04 CB05 CB09 CB10 CB11 CB15 DB02 DB03 DB07 DB08 DB09 DB10 DB12 EB01 EB04

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ・チャンバ内で半導体基板に開口をエッチングする
    方法であって、 マスキング層と、エッチングされる材料を含む該マスキング層内の開口とを含
    む半導体基板をプラズマ・チャンバ内の基板支持台上に支持するステップと、 プラズマ・チャンバ内でエッチング・プラズマを発生することによって、マス
    キング層の開口中の材料をエッチングするステップと、 マスキング層内の開口中の材料のエッチングに先立ってマスキング層の厚さを
    測定するステップと、 マスキング層の下側に対する目標エッチング深さを達成するように前記エッチ
    ング・ステップの期間を制御するステップと、 開口の目標エッチング深さが得られたときにエッチング・プラズマを消すステ
    ップと、 基板を前記チャンバから取り除くステップとを含む方法。
  2. 【請求項2】 前記測定ステップがインターフェロメトリーによって実行さ
    れて、プラズマが高密度プラズマである請求項1に記載の方法。
  3. 【請求項3】 前記厚さ測定ステップが、プラズマ中の化学種が検出された
    ときに実行される請求項1に記載の方法。
  4. 【請求項4】 マスキング層が窒化シリコンから成り、化学種が塩化シリコ
    ンであるか、またはマスキング層がフォトレジストであり、化学種がCОである
    請求項3に記載の方法。
  5. 【請求項5】 前記厚さ測定ステップに先立って、マスキング層に重なった
    材料の層が該マスキング層から除かれる請求項1に記載の方法。
  6. 【請求項6】 前記エッチング・ステップに先立って、マスキング層に重な
    った材料の層が化学機械研磨によって除去される請求項1に記載の方法。
  7. 【請求項7】 開口中の材料が目標エッチング深さに停止層を含まない請求
    項1に記載の方法。
  8. 【請求項8】 マスキング層が実質的に窒化シリコンから成り、開口中の材
    料が実質的に多結晶シリコンから成る請求項1に記載の方法。
  9. 【請求項9】 マスキング層が2つ以上の材料層またはフォトレジストを含
    む請求項1に記載の方法。
  10. 【請求項10】 プラズマ・チャンバ内で半導体基板に開口をエッチングす
    る装置であって、 エッチング・ガスをその内部に供給するガス供給源を含むプラズマ・エッチン
    グ・チャンバと、 マスキング層と、エッチングされる材料を含む該マスキング層内の開口とを含
    む半導体基板を前記プラズマ・チャンバの内部で支持する基板支持台と、 エッチング・ガスにエネルギーを与えて、該エッチング・ガスを、エッチング
    ・ステップ中にマスキング層の開口中の材料をエッチングするプラズマにするエ
    ネルギー源と、 マスキング層内の開口中の材料のエッチングに先立って、マスキング層の厚さ
    を測定し、測定マスキング層厚を表す信号を出力する厚さ測定デバイスと、 厚さ測定デバイスから出力される信号を受取り、マスキング層の下側に対する
    目標エッチング深さを達成するように前記エッチング・ステップを自動的に調整
    するコントローラであって、開口の目標エッチング深さが得られたときに前記エ
    ッチング・ステップを終了させるコントローラとを備える装置。
JP2000572914A 1998-09-30 1999-09-24 プラズマ・エッチング工程の精度を改善する方法および装置 Expired - Fee Related JP4563584B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/163,303 US6136712A (en) 1998-09-30 1998-09-30 Method and apparatus for improving accuracy of plasma etching process
US09/163,303 1998-09-30
PCT/US1999/020889 WO2000019505A1 (en) 1998-09-30 1999-09-24 Method and apparatus for improving accuracy of plasma etching process

Publications (2)

Publication Number Publication Date
JP2002526918A true JP2002526918A (ja) 2002-08-20
JP4563584B2 JP4563584B2 (ja) 2010-10-13

Family

ID=22589402

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000572914A Expired - Fee Related JP4563584B2 (ja) 1998-09-30 1999-09-24 プラズマ・エッチング工程の精度を改善する方法および装置

Country Status (8)

Country Link
US (2) US6136712A (ja)
EP (1) EP1129478B1 (ja)
JP (1) JP4563584B2 (ja)
KR (1) KR100659163B1 (ja)
AU (1) AU6246499A (ja)
DE (1) DE69942983D1 (ja)
TW (1) TW452881B (ja)
WO (1) WO2000019505A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6620631B1 (en) * 2000-05-18 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
CN1258811C (zh) * 2001-02-14 2006-06-07 先进微装置公司 控制蚀刻选择性的方法和装置
US6545753B2 (en) * 2001-06-27 2003-04-08 Advanced Micro Devices, Inc. Using scatterometry for etch end points for dual damascene process
KR100438379B1 (ko) * 2001-09-05 2004-07-02 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자제조공정의 종점판정방법과 장치 및 그것을사용한 피처리재의 처리방법과 장치
US6778268B1 (en) * 2001-10-09 2004-08-17 Advanced Micro Devices, Sinc. System and method for process monitoring of polysilicon etch
US7204934B1 (en) * 2001-10-31 2007-04-17 Lam Research Corporation Method for planarization etch with in-situ monitoring by interferometry prior to recess etch
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US7399711B2 (en) * 2002-08-13 2008-07-15 Lam Research Corporation Method for controlling a recess etch process
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7019844B2 (en) * 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
DE10255850B4 (de) * 2002-11-29 2007-12-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Halbleiterstrukturen unter Ausbildung einer Signalschicht zur Generierung charakteristischer optischer Plasmaemissionen und integrierter Schaltungschip
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US6979579B1 (en) * 2004-03-30 2005-12-27 Lam Research Corporation Methods and apparatus for inspecting contact openings in a plasma processing system
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7514277B2 (en) * 2004-09-14 2009-04-07 Tokyo Electron Limited Etching method and apparatus
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US20080066866A1 (en) * 2006-09-14 2008-03-20 Martin Kerber Method and apparatus for reducing plasma-induced damage in a semiconductor device
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
US7952708B2 (en) 2007-04-02 2011-05-31 Applied Materials, Inc. High throughput measurement system
KR101307247B1 (ko) * 2012-09-26 2013-09-11 가톨릭대학교 산학협력단 보상구조물을 이용한 실리콘웨이퍼 에칭 방법 및 이를 이용한 에너지 하베스터 제조 방법
CN103107080B (zh) * 2013-01-11 2017-02-08 无锡华润上华半导体有限公司 一种解决深沟槽刻蚀工艺中圆片表面糊胶的刻蚀方法
KR101469000B1 (ko) * 2013-07-17 2014-12-04 주식회사 엠엠테크 글라스 박형화 장치 및 그 방법
US10998215B2 (en) * 2018-06-27 2021-05-04 Facebook Technologies, Llc Monitoring dry-etching of polymer layer for transferring semiconductor devices
US11830779B2 (en) 2020-08-12 2023-11-28 Applied Materials, Inc. In-situ etch material selectivity detection system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6223113A (ja) * 1985-07-24 1987-01-31 Hitachi Ltd 終点検出方法
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPS63148675A (ja) * 1986-12-12 1988-06-21 Toshiba Corp 半導体装置
JPH04370929A (ja) * 1991-06-20 1992-12-24 Sharp Corp ドライエッチング方法
JPH07221073A (ja) * 1994-01-31 1995-08-18 Sony Corp 基板のエッチング方法及びエッチング装置
JPH10256510A (ja) * 1997-01-22 1998-09-25 Internatl Business Mach Corp <Ibm> トレンチ・キャパシタを備えた垂直トランジスタを有するメモリ

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328068A (en) * 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4367044A (en) * 1980-12-31 1983-01-04 International Business Machines Corp. Situ rate and depth monitor for silicon etching
US4376672A (en) * 1981-10-26 1983-03-15 Applied Materials, Inc. Materials and methods for plasma etching of oxides and nitrides of silicon
US4615761A (en) * 1985-03-15 1986-10-07 Hitachi, Ltd. Method of and apparatus for detecting an end point of plasma treatment
JPS62299032A (ja) * 1986-06-19 1987-12-26 Canon Inc エツチング監視装置
US4675072A (en) * 1986-06-25 1987-06-23 International Business Machines Corporation Trench etch endpoint detection by LIF
FR2616269B1 (fr) * 1987-06-04 1990-11-09 Labo Electronique Physique Dispositif de test pour la mise en oeuvre d'un procede de realisation de dispositifs semiconducteurs
US5045149A (en) * 1988-10-24 1991-09-03 Vlsi Technology, Inc. Method and apparatus for end point detection
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US5131752A (en) * 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5465859A (en) * 1994-04-28 1995-11-14 International Business Machines Corporation Dual phase and hybrid phase shifting mask fabrication using a surface etch monitoring technique
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5747380A (en) * 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
JPH1112769A (ja) * 1997-06-27 1999-01-19 Canon Inc エッチング方法並びに装置及び回折光学素子の製造方法並びに装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6223113A (ja) * 1985-07-24 1987-01-31 Hitachi Ltd 終点検出方法
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPS63148675A (ja) * 1986-12-12 1988-06-21 Toshiba Corp 半導体装置
JPH04370929A (ja) * 1991-06-20 1992-12-24 Sharp Corp ドライエッチング方法
JPH07221073A (ja) * 1994-01-31 1995-08-18 Sony Corp 基板のエッチング方法及びエッチング装置
JPH10256510A (ja) * 1997-01-22 1998-09-25 Internatl Business Mach Corp <Ibm> トレンチ・キャパシタを備えた垂直トランジスタを有するメモリ

Also Published As

Publication number Publication date
DE69942983D1 (de) 2011-01-05
EP1129478A1 (en) 2001-09-05
EP1129478B1 (en) 2010-11-24
US6270622B1 (en) 2001-08-07
WO2000019505A1 (en) 2000-04-06
KR100659163B1 (ko) 2006-12-18
US6136712A (en) 2000-10-24
AU6246499A (en) 2000-04-17
JP4563584B2 (ja) 2010-10-13
KR20010075467A (ko) 2001-08-09
TW452881B (en) 2001-09-01

Similar Documents

Publication Publication Date Title
JP2002526918A (ja) プラズマ・エッチング工程の精度を改善する方法および装置
JP4841953B2 (ja) 凹部エッチング制御方法
KR100769607B1 (ko) 반도체 웨이퍼의 처리방법 및 처리장치
US7057744B2 (en) Method and apparatus for measuring thickness of thin film and device manufacturing method using same
US20050202575A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
EP0841682A2 (en) Method of detecting end point of plasma processing and apparatus for the same
US7301645B2 (en) In-situ critical dimension measurement
JPH0785011B2 (ja) エッチング装置及び方法
JPH09283585A (ja) デバイス製造方法
JPH0834199B2 (ja) エッチング終点検出方法及び装置
JP3854810B2 (ja) 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
US20030085198A1 (en) Method of detecting etching process end point in semiconductor fabricating equipment and detector therefor
JP2003083720A (ja) 半導体素子製造プロセスにおける膜の処理量測定方法と装置、及びそれを用いた被処理材の処理方法と装置、及びそれを用いたプロセスの終点判定方法と装置
KR910009611B1 (ko) 트렌치 에칭법
JP2006119145A (ja) 半導体ウエハの処理方法及び処理装置
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
JPH05175165A (ja) プラズマ装置
JP2005303088A (ja) プラズマ処理装置及びレジストトリミング方法
JP2024052690A (ja) プラズマ処理方法およびプラズマ処理装置
WO2003038872A2 (en) Method and system for monitoring a semiconductor wafer plasma etch process
KR100902365B1 (ko) 반도체제조장치
WO2003002990A2 (en) Using scatterometry to develop real time etch image
KR20030072031A (ko) 반도체 애싱설비의 스트립불량 감지장치 및 그 방법
Venugopal et al. Manufacturability considerations in designing optical monitoring methods for control of plasma etch processes
JP2000040690A (ja) エッチング方法およびエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060920

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100702

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100729

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees