JPH0785011B2 - エッチング装置及び方法 - Google Patents

エッチング装置及び方法

Info

Publication number
JPH0785011B2
JPH0785011B2 JP4055377A JP5537792A JPH0785011B2 JP H0785011 B2 JPH0785011 B2 JP H0785011B2 JP 4055377 A JP4055377 A JP 4055377A JP 5537792 A JP5537792 A JP 5537792A JP H0785011 B2 JPH0785011 B2 JP H0785011B2
Authority
JP
Japan
Prior art keywords
etching
trench
signal
output signal
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP4055377A
Other languages
English (en)
Other versions
JPH05118825A (ja
Inventor
ベルナール・オーダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH05118825A publication Critical patent/JPH05118825A/ja
Publication of JPH0785011B2 publication Critical patent/JPH0785011B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、トレンチ構造を組み込
んだ集積回路に関し、特に、エッチングの終点検出に有
用な、トレンチ形成プロセスについての現場でかつオン
ラインの監視方法に関するものである。本発明はまた、
そのトレンチ形成プロセスを監視する装置にも関するも
のである。
【0002】
【従来の技術】集積回路の半導体基板中にエッチングで
形成するトレンチは、その長さに拘わらず、1以上のア
スペクト比(深さ対幅の比)を有するものが、VLSI
プロセス処理のいくつかの領域で望ましいものとされて
いる。特に、溝形のトレンチは、半導体基板中の各デバ
イス間の分離技法として、広く用いられている。トレン
チの形成を大変に必要とする別の領域は、ダイナミック
・ランダム・アクセス・メモリ(DRAM)にあり、こ
のメモリでは、個別の各メモリ・セルは、基本的にはM
OSトランジスタ/コンデンサの組み合わせから成って
いる。その標準的な記憶用プレーナ形コンデンサが占め
るセル領域を減少させることができれば、MOS DR
AMの詰め込み密度をより高くすることができる。これ
は、コンデンサの誘電体を、半導体基板中に十分深くエ
ッチングした穴の側壁に配置して、上記プレーナ形コン
デンサのものと同等な表面領域を備えるようにすること
によって、実現することができる。その穴のことを、文
献では共通してトレンチと呼んでいる。ただし、そのよ
うな応用におけるトレンチは、分離目的に必要なものよ
りも深くなる傾向があり、また、それ自身の特有の要件
がある。
【0003】トレンチ・コンデンサを有するMOS D
RAMセルの製造における、現行技術のトレンチ形成プ
ロセスについて、図1〜図5を参照しながら以下に述べ
る。これらの図は、そのプロセスの種々のステップにお
ける半導体構造の横断面図である。図1には、在来の半
導体構造10について、1部を例示してあり、この構造
は、絶縁用トレンチの複合ハードマスク12を被覆した
シリコン(Si)基板11を備えている。マスク12
は、典型的には、25nm厚の基部即ち下部の2酸化シ
リコン(SiO2)層13と、55nm厚の中間の窒化シ
リコン(Si34)層14と、300nm厚の上部の熱
分解性の2酸化シリコン(SiO2)層15と、から成る
ものである。この最後の層は、化学気相成長(CVD)
技法で堆積させるのが好ましい。そして、この構造の上
には、フォトレジスト物質の層16(例えば、ドイツ、
ヴィースバーデンのヘキスト社が供給するAZ1350
J)を、約800nmの厚さで形成する。この半導体構
造10は、処理される1枚のウェーハ(多数のチップを
含む)の1部分であると理解されるべきである。
【0004】次に、フォトレジスト層16は、リソグラ
フィ用マスクを介して紫外光への露出を行い、そして次
に標準通りに現像を行って、所望のパターンの開口のあ
るフォトレジスト・マスクを生成する。次に、その開口
パターンは、その下のトレンチマスク12をドライエッ
チングによってエッチングするための、現場マスク(in
-situ mask)として用いる。現行のVLSI用ドライエ
ッチング・プロセスは、高圧プレーナ・プラズマエッチ
ングまたは低圧反応性イオンエッチングのいずれかによ
って、行っている。このエッチング・プロセスは、通
常、あるガスからの反応性種(原子、ラジカル、イオ
ン)の生成に依存するものであり、それら種は、エッチ
ングする表面に吸収されることになる。そして、この表
面とそれらの種との間に化学反応が生じ、そしてそれの
ガス状反応生成物がその表面から除去されることにな
る。代表的には、アメリカ合衆国カリフォルニア州サン
タクララのアプライド・マテリアル社が供給する、AM
Eプレシジョン5000のような磁気増強型反応性イオ
ンエッチング(MERIE(Magnetically Enhanced Re
active Ion Etching))プラズマエッチング・システム
を適切に用いることにより、上記フォトレジスト・マス
ク16を介してハードマスク12のエッチングを行う。
これでは、異なった種々の組成のガス混合物(例えばC
HF3+O2)を用いてもよい。次に、残存しているフォ
トレジスト層16を、標準通り、例えば、その同じAM
E5000装置内において酸素(O2)中で灰化するこ
とにより、除去する。このステップが終了した時にその
結果として得られる構造は、図2に示してあり、これ
は、トレンチマスク12中に、17で指示した1つの開
口のみを持っている。この開口17は、標準通りの穴で
よいが、しかし、そのような特定の円形形状に限定され
るものではない。例示目的のため、その開口17は円形
とし、また基板表面での径又は幅はWsとする。
【0005】次に、トレンチマスク12を現場マスクと
して用いて、シリコン基板11にトレンチ形成用のエッ
チングを行う。これは、基本的には、比較的複雑なプロ
セスによって実現するものであり、これは、トレンチを
エッチングしている限り連続的にSiO2の再堆積を生じ
させる化学作用を用いる。例えば、HBr+NF3+Si
4+He+O2の混合ガスが適当であるが、その理由
は、シリコンをエッチングするフッ素ラジカル(F)
と、そのエッチングしたシリコンと結合して臭化シリコ
ンラジカル(SiBr)(これは更に、酸素(O2)と反
応して上記のSiO2再堆積を生じさせる)を形成する臭
素ラジカル(Br)と、を生成するからである。その代
表的なガス流量は、次の通りである。即ち、HBr(4
5sccm)、NF3(7sccm)、SiF4(5sc
cm)、及びHe+O2(13sccm)。このような在
来のトレンチ形成プロセスについて、次に簡単に説明す
る。
【0006】予備的な洗浄ステップでは、HBr+NF3
ガスを用いて、それより前のステップで生成されている
可能性のある自然な酸素微粒子を全て除去する。微粒
子、そしてより一般的にはいかなる汚染物も、DRAM
製品の信頼性に有害なマイクロマスキング効果の原因と
なるものである。残存しているトレンチマスク12の厚
さは、この予備ステップの後では、300nm程度とな
る。
【0007】次のステップでは、上記のHBr+NF3
SiF4+He+O2ガス混合物と上述のプラズマエッチン
グ・システムを用いて、所望の強力な異方性でもってシ
リコンを選択的にエッチングする。このエッチング・プ
ロセスを実行するのには、以下のパラメータが適切であ
る。即ち、電力=700W、周波数=13.56MH
z、磁界B=55G、そして圧力=13.3Pa。この
シリコンをエッチングするとき、薄い熱分解性2酸化シ
リコン(化学量論的SiO2とみなす)の層が、連続的に
この構造上に再堆積される。SiO2のこの再堆積は、適
当な傾斜の形成と、トレンチの側壁の平坦性を確保する
ものである。このことは、本トレンチ形成プロセスの中
間段階を図示した図3から明らかである。この図3にお
いて、18で指示したSiO2の再堆積した層は、Thで
表した厚さをもち、そしてトレンチは番号19で指示し
ている。本プロセスのこの段階においては、トレンチに
関係する重要なパラメータは、そのSiO2再堆積層の深
さDと厚さTh、そしてテーパ角θである。
【0008】このトレンチ形成プロセスが終了した時、
その結果として得られる構造を図4に示す。最終的なそ
のSiO2再堆積層とトレンチは、それぞれ18f、19
fで指示してある。層18fの全厚Thfは、150n
m程度であり、そしてそのエッチング・プロセスの全所
要時間は、約10分である。従って、基板11上に残存
している絶縁層の全厚は、約450nmである。
【0009】最後に、図5は、上述の熱分解性のSiO2
層15及び18fを全て上記構造から除去した時に最終
的に得られるトレンチ19fの詳細な輪郭を示しいて
る。シリコン基板11の上面とその底部とでは、トレン
チの幅は、それぞれWs及びWbである。Dfで表すシ
リコン基板11中の最終的なトレンチの深度、及びトレ
ンチ19fの最終的なテーパ角θfが、このトレンチの
最終的な輪郭を特徴付けている。
【0010】Th(Thf)、θ(θf)及びD(D
f)は、トレンチ形成プロセスのキー・パラメータであ
る。トレンチの物理的特性を非常に注意深く制御し、殆
どの応用分野において満足のいく結果を得なくてはなら
ないことは、疑いのないことである。このことは、テー
パ角θfで例示するトレンチの横断面輪郭があらゆる観
点において特に関係するDRAM技術において、特に当
てはまることである。トレンチの側壁は、実質的に垂
直、即ち垂直から約2度の角度を形成しなくてはならな
い。それに加えて、トレンチの内面は、滑らか、即ち荒
さが全くないようにし、これにより、後で堆積させてコ
ンデンサ誘電体を形成することになる非常に薄いSiO2
層の完全性を確保しなくてはならない。
【0011】従って、DRAMのあらゆる製造業者の目
的は、滑らかな側壁と丸い底部とを有するトレンチを、
その傾斜及び深さを制御しつつ形成するため、上記のよ
うなプロセスを監視することである。特に、トレンチに
関係するパラメータには、所定の非常に正確な値をもた
せなければならない。それらの値は、代表的には、θf
=2度(±1度)、Df=7.5ミクロン(±1ミクロ
ン)である。
【0012】
【発明が解決しようとする課題】現在までのところ、上
記のSiO2再堆積ステップの後には、続けて偏光解析を
行って、層18の厚さThの増加を測定するが、その理
由は、その厚みの成長(エッチング期間即ち時間tに依
存する)とテーパ角θとの間に比較的良好な相関関係が
あるからである。しかし、残念ながら、その相関関係
は、その対応する深さDに関しては乏しい。例えば、ト
レンチは、同じ最終テーパ角θfで異なる最終深度Df
を有することがある。このために、トレンチ形成プロセ
ス・ステップの終了後、図5の構造の段階にある1枚の
サンプル・ウェーハをスライスすることにより横断面を
得、そしてこの横断面で、SEM分析することによっ
て、最終深度Df及びテーパ角θfの正確な判定を行な
うようにしているのである。もしこれらの値が仕様値か
ら外れているとしたら、そのウェーハの全ロットが廃棄
されることになる。
【0013】まとめれば、そのようなトレンチ形成プロ
セスは従来、不正確な偏光解析法に基づいた技法によっ
て脇で監視していた。この技法は、トレンチの所望の深
度に達するまで、何回かその操作を繰り返す必要があ
る。この操作の各々の終了時に、SEM横断面分析を用
いてトレンチ・パラメータの実際の値、即ち、テーパ角
と深度とを決定していた。従って、これまでのところ、
現行技術の監視方法は、代表的には、現場外(ex-sit
u)でかつオフラインの技法に基づくものであった。更
に、この既知の方法は、費用がかかり(そのコストは、
上記操作の各々において、ロットあたり1ウェーハであ
る)、しかも、SEM横断面分析の結果が出るのを待っ
ている間のアイドル・プロセス時間のために、時間がか
かるものであった。その結果として、この既知のトレン
チ形成プロセス監視方法は、いくつかの顕著な難点をも
つものであり、本発明は、これらの克服を意図したもの
である。
【0014】従って、本発明の第1の目的は、ドライエ
ッチングにより半導体基板内でトレンチを形成するプロ
セスについて、それについての現場でかつオンラインの
監視方法を提供することである。
【0015】本発明の別の目的は、手動または自動の効
果的なエッチング終点検出能力を有する、ドライエッチ
ングによる半導体基板へのトレンチの形成プロセスの現
場でかつオンラインの監視方法を提供することである。
【0016】本発明の別の目的は、偏光解析法及びSE
M横断面分析に基づいた、高価で時間のかかる従来の監
視方法とは異なる、ドライエッチングによる半導体基板
へのトレンチ形成プロセスの現場でかつオンラインの監
視方法を提供することである。
【0017】本発明の更に別の目的は、上述のドライエ
ッチングによる半導体基板へのトレンチ形成プロセスの
現場でかつオンラインの監視方法を実施する装置を提供
することである。
【0018】
【課題を解決するための手段】本発明では、エッチング
・システム全体は、ドライエッチング機器を含んでい
て、この機器は、側部及び上部のクォーツ窓を有するエ
ッチング・チャンバ並びに監視装置を備えている。本発
明によれば、この監視装置は、基本的には2つの分光計
を備えており、そしてこれらは、2本の光ファイバで側
部及び上部のクォーツ窓に接続して、その各光ファイバ
でそれぞれ、ウェーハ面に対しゼロ及び直角の入射角に
てプラズマを「見る」ようにする。また、それら分光計
は、同じ種の放射線、例えばSiBrバンドのものを見る
ように同調させる。側部及び上部の窓から見るそれら分
光計からの出力信号は、異なったものとなる。即ち、−
時間tの関数としての側部信号Ilは、トレンチ・エ
ッチングの間のバンド強度の変化を表す。
【0019】− 時間tの関数としての上部信号It
は、バンド強度の変化とウェーハ表面の反射率との両方
を表す。従って、信号Itは干渉計的成分Iiをもった
混合信号である。
【0020】上記の監視装置は、更に、上記アナログ信
号Il及びItをデジタル値に変換する手段と、この値
を処理しそして適当となった時にエッチング・プロセス
を終了させるデジタル処理及び判定手段と、そして信号
表示のためのオプションのプロット手段と、を備えてい
る。
【0021】本発明の監視方法では、上記干渉計的成分
Iiを、上部信号から側部信号を減算することによって
抽出する。この干渉計的成分Iiは、準周期性で減衰形
のものである。次に、この干渉計的成分信号Iiの包絡
線信号Ja及びJb、そして最終的にその包絡線信号の
振幅変化信号Iを生成する。
【0022】トレンチの深度Dは、次式によってリアル
タイムで決定する。
【0023】
【数1】D=k{I(t=0)−I}1/2 ここで、kは当該エッチング・システムに対し適切な係
数であって、その予備的な校正段階で決めるものであ
る。
【0024】また、再堆積するSiO2の厚さThは、次
式によってリアルタイムで計算する。
【0025】
【数2】Th=(λ/4ntu)・t ここで、λは選択した種(例:SiBr)の波長、nは再
堆積したSiO2の屈折率、そしてtuは干渉計的信号I
iの半周期である。
【0026】これらのD及びThは、仕様に示されたと
ころの所望の最終パラメータDf及びThfになるま
で、連続的に監視する。これは、θfの所望値も得られ
ることを保証する。
【0027】従って、トレンチ形成プロセスは、本発明
の現場かつオンラインの監視方法によって、完全に自動
的に制御することができる。
【0028】本発明の特徴と信ずる新規な特質について
は、請求の範囲に記載してある。しかし、本発明それ自
体並びにそれとは別の目的及び利点については、次に挙
げる例示の好適実施例の詳細な説明を、添付の図面を参
照しながら読めば、もっと良く理解することができよ
う。
【0029】
【実施例】本発明は、トレンチ構造を組み込んだ集積回
路に関し、特にエッチングの終点検出に有用な、トレン
チ形成プロセスの現場でかつオンラインの監視方法に関
するものである。本発明はまた、このトレンチ形成プロ
セスを監視する装置にも関するものである。
【0030】図6には、本発明のエッチング/監視シス
テム20を示してある。このシステム20は、まず始め
にドライエッチング機21を備えており、このドライエ
ッチング機21は、主に、処理すべき物品24(代表的
には、シリコンウェーハ)を保持するプレーナ形サセプ
タ23を収容したエッチング処理チャンバ22と、RF
電源25とから構成されている。本発明の教示に従い、
そのエッチング処理チャンバ22には、2つのクォーツ
窓26A及び26Bを設け、これらをそれぞれ横(又は
側部)壁及び上部に配置することが重要である。これに
適切な機器は、前述のAMEプレシジョン5000であ
り、これは、単一ウェーハ・プラズマエッチング・リア
クタを複数備えている。このタイプの機器では、サセプ
タ23が陰極であり、チャンバの壁が、グランドに接続
された別の電極である。これら2つの電極の間に発生す
るプラズマ27は、そのエッチング状態を表す種を含ん
でいる。エッチングを行なっている間には、それら種か
らの放射の強度が、時間、エッチングする物質、及び表
面変形の関数として変化する。
【0031】更に、システム20は、本発明の監視装置
28も備えている。同じく、本発明に従い、2つのファ
イバ式視覚プローブ29A及び29Bを、クォーツ窓2
6A及び26Bにそれぞれ接続させて、エッチング・プ
ロセス中、チャンバ22内で生成される異なった種が発
する放射線を短距離伝送するようにする。これらの各フ
ァイバ式視覚プローブは、プラズマ27の比較的広い領
域からの光を捕捉できるような立体角を備えるようにし
なければならない。特に、その横即ち側部のファイバ式
視覚プローブ29Aを、ウェーハ表面近くのプラズマ2
7にそのウェーハ面に対して零度の入射角で合焦させる
ことが、非常に望ましい。一方、ファイバ式視覚プロー
ブ29Bは、ウェーハをプラズマを通してそれにほぼ垂
直の入射角で見るようにすることが重要である。次に、
これらファイバ式視覚プローブ29A及び29Bは、そ
れぞれ分光計30A及び30Bに接続する。これに適当
な分光計は、フランス、アルパジョンのSofie I
nstから入手可能なモデルSD20である。
【0032】このモデルの分光計は、広い範囲の放射線
スペクトラムにわたって同調させることが可能であり、
本件の場合、後述するように、特定の1つのSiBrバン
ドの波長に同調させる。各分光計は、モノクロメータと
検出器(詳述しない)とを備えている。上記の伝送する
放射線は、モータ駆動式のモノクロメータで受け、これ
は、監視すべき1つの選択した放射線を除く全ての放射
線波長を濾波により除去する。次に、その選択した放射
線を検出器で受ける。この検出器は、低雑音ダイオード
検出器とするか、あるいは好ましくは、増幅器と組み合
わせた低雑音光電子増倍管とすることができる。側部分
光計30A及び上部分光計30Bのその増幅器部分から
それぞれ供給されるアナログ出力信号Il及びItは、
処理ユニット31に印加する。この処理ユニット31
は、好適実施例では、A/D変換器32及びソフトウェ
ア作動型コンピュータ33を備えている。また、このコ
ンピュータ33には、プロッタ(またはチャートレコー
ダ・ユニット)34(及び/又は視覚的ディスプレイ)
を接続する。このプロッタ34は、本監視方法に従って
発生するアナログ信号のプロットを可能にする。また、
コンピュータ33は、信号処理並びにプロッタ34での
印刷のため、A/D変換器32が出力するデジタル信号
を受け取る。更にまた、コンピュータ33は、エッチン
グ・チャンバ22の動作について、RF周波数電源25
を駆動する制御線35を介して監視することができる。
その制御線35により、所望の最終トレンチ深度(D
f)に達したエッチング終点の検出時に、そのエッチン
グ・チャンバのスイッチを自動的にオフにすることがで
きる。
【0033】ここで注意されたいことは、マルチプレク
サ手段を設ければ、分光計を1つだけ用いて、ファイバ
式視覚プローブ29A及び29Bが交互に供給する情報
を順番に処理するようにすることもできる。更にまた、
分光計30A及び30Bのアパーチャーをそれぞれクォ
ーツ窓26A及び26Bに対し直接当てるようにすれ
ば、ファイバ式視覚プローブ29A及び29Bを使うこ
とは、不要にできる。
【0034】分光計及び干渉計には、プラズマエッチン
グ機またはドライエッチング機を監視するに際して、明
確な使用法がある。通常、分光計のアパーチャーは、プ
ラズマによって生成されるグロー放電に向ける一方で、
ウェーハは水平にかつそれからいくらか距離をおいて配
置する。このような条件では、干渉縞は発生できず、分
光計は、上記の選択バンドの光強度の変化のみを検出す
ることになる。従って、分光計30Aは、基準のものと
して取り付けて、出力信号Ilを発生させる。この出力
信号の振幅は、トレンチの深度が増す限り連続的に減少
するようになっている。更にまた、通常、干渉計は、ウ
ェーハ面に対して垂直の入射角で上面窓を介して臨むよ
うに、配置するようにしている。尚、その垂直さが必要
であるが、その理由は、エッチング処理チャンバ22内
のプラズマが、グロー放電即ち光源を生じさせ、そして
この場合、諸々の短い波長が幅広い選択多様性でもって
得られ、このため、ある状況下でいくつかのバンド
(線)が干渉を生じる可能性があるからである。本発明
と同一の譲受人に譲渡された欧州特許出願公開EP−A
−394597には、干渉計モードで動作する基準の分
光計を備えた監視装置が記載されている。この引用した
出願の図4に例示されているように、その分光計が出力
する電流信号は、ほとんどサイン形状である(この特許
出願は、言及により本開示に含める)。
【0035】本発明では、光ファイバ29Bもウェーハ
に対して垂直に取り付けて、上部クォーツ窓26Bを通
してウェーハを垂直の入射角で見るようにする。従っ
て、プラズマによって発生されるグロー放電を、光学式
分光計(これは、この時は、上述の特許出願に教示され
ているように干渉計として用いる)によって観察する。
その結果として、干渉計的な効果が生じ、これにより、
上部分光計30Bが発生する上部信号Itの幾つかの極
大値及び幾つかの極小値をもちしかも徐々に減少する振
幅の交流電流が発生する。上部信号Itのその周期性
は、トレンチ形成プロセス中の再堆積するSiO2層の成
長によって生ずる干渉に由来している。
【0036】分光計29A及び29Bは、その両方のモ
ノクロメータを、同一の放射線、即ちある所定の種の同
一のバンド波長をみるように設定することが重要であ
る。ここで、バンド波長の選択について、少し考察す
る。トレンチ形成プロセス中においては、そのグロー放
電のスペクトラムは、広い範囲にわたる多くの臭化シリ
コン(SiBr)バンドを示す。このため、臭化シリコン
が選択した種であったので、407nm、614nm及
び828nmのバンドを特に調べて、これらの内から本
発明を実施するのに最も有効なものを判定した。それの
バンド強度が異なっていても、そのふるまいは同一であ
るが、その理由は、それらバンドが全て干渉を作るには
適当なものであるからである。以下の表は、これらのS
iBrバンドの波長に対して、これらの相対強度及び振幅
変動を、上部信号Itに関係する範囲で、示したもので
ある。
【0037】
【表1】 波長(nm) 相対強度(任意の単位) 振幅変動(%) 828 100 6 614 20 10 407 15 20 エッチング期間中に(図2の構造乃至図4の構造から)
最大振幅変動をもちより多い周期の上部信号Itを得る
ために、上記の表に示唆されているように、最も短い波
長即ち407nmを選択したが、その理由は、このバン
ドが百分率で最大の変動を有するからである。適当な光
ファイバを用いれば、SiBr(あるいは更に別の種)の
最短の1つのバンド波長を選択して、更により正確な干
渉計的計測のためにより多い数の周期を得るようにする
ことも可能である。
【0038】本発明では、半導体基板にトレンチを形成
するプロセスを監視する方法は、次の基本的なステップ
をリアルタイムで完了することから成っている。
【0039】1. 上部分光計及び側部分光計から、エ
ッチング期間即ち時間tの関数として上部出力信号It
及び側部出力信号Ilをそれぞれ発生 2. 上部信号Itから側部信号Ilを減算して、干渉
計的成分信号Iiを生成 3. 信号Iiと、これの振幅変動に対応する信号I
と、の包絡線信号Ja及びJbを生成 4. 上記振幅変動信号Iを用いてトレンチ深度Dを計
算 5. 上記干渉計的信号Iiの最初の半周期を得たら直
ちに、この干渉計的信号Iiから成長した再堆積SiO2
層の厚さThを計算。
【0040】このようにして、トレンチ形成プロセスの
継続的な監視を行う。
【0041】エッチング期間中、それぞれ側部分光計3
0A及び上部分光計30Bが出力する信号Ilと信号I
tとは、異なる性質のものであって、有用で別個の情報
を含んでいる。
【0042】− 信号Ilは、側部分光計30Aから集
めた電流であって、トレンチ形成中のバンド強度の変動
を表すものである。強度(%)の任意単位での信号Il
対エッチング期間即ち時間t(秒)について、図7の曲
線36に示す。この図7から明らかなように、側部窓2
6Aからは、強度が連続的にしかし非線形に減少する電
流が得られる。信号Ilは、トレンチ形成プロセスの開
始時には急速に減少し、そして終了時にはその減少がよ
り遅くなる。この曲線36は、これが直線に減少する線
であったならば、トレンチ深度に関する直接の情報を与
えることができたはずのものである。尚、この信号を変
調する干渉効果はない。信号Ilは、トレンチ深度Dと
ある点で関連性がある。即ち、エッチングをtf時に止
めたとき、この時に測定できる強度は、Dfに比例して
いる。
【0043】− 信号Itは、上部分光計30Bから集
めた電流であって、バンド強度変動(信号Ilのよう
に)とウェーハの反射率との両方を表すと思われるもの
である。その後者の反射率は、構造が図2の段階にある
エッチング・プロセスが始まったばかりの時のマスク1
2の反射率、そしてトレンチ形成プロセスの間の層18
(図3の構造を参照)の反射率、とに対応している。エ
ッチング中の信号Itの強度変動は、上記と同じ強度
(%)の任意単位で、図8の曲線37により例示してあ
る。従って、分光計30Bが供給する信号Itは、トレ
ンチ内のシリコンのエッチングと成長中の再堆積SiO2
層18の反射率との双方の関数であるので、バンド強度
成分と干渉計的成分との混合信号である。それら双方の
成分信号が線形的に干渉すると仮定すると、It=Il
+Iiと記すことができる。ただし、Iiは、信号It
の干渉計的成分と呼ぶものである。結果としては、信号
Iiは、単にItからIlを減算することによって、抽
出することができる。従って、この信号Iiは、ある面
では、時間tにおける再堆積SiO2層18の厚さThに
関連するが、その理由は、信号Iiが本質的にウェーハ
の反射率に依存しているからである。エッチングをtf
時に止めた時、この時に測定できる電流信号は、かく
て、Thfに比例することになる。
【0044】次に、図9には、図7及び図8の各曲線3
6及び37を記録してあり、そしてこの図9には、エッ
チング期間tの関数としての信号Itの干渉計的成分I
iの変動を、曲線38で例示してある。これらの曲線
は、プロッタ34で印刷することができる。この図9か
ら明らかなように、信号Iiは、準周期的で減衰性のサ
イン形状タイプのものである。この曲線38の包絡線
(39A及び39Bで指示)も、図9に示してあり、こ
れらは、干渉計的信号Iiのいわゆる包絡線信号Ja及
びJbとリアルタイムで対応している。ここで、信号I
を2つの包絡線信号Ja及びJbの振幅変動と規定す
る。トレンチ形成プロセスがt=0で開始した時は、I
=Isであり、そしてt=tfでこのプロセスの終了時
には、I=Ibである。本願発明者は、信号Iのリアル
タイムの変動とトレンチ深度との間に、予想しなかった
正確な相関があることを発見した。実際、図9に示した
干渉計的信号Iiのその包絡線の振幅変動値IsとIb
とは、上部光ファイバプローブで見た全てのトレンチに
関して、図5の構造で規定したトレンチの幅Ws(t=
0時の表面箇所)と幅Wb(t=tf時の底部箇所)と
を、それぞれ表すものである。厚さThをリアルタイム
で計算するには、信号Iiの半周期が必要である。
【0045】上記のリアルタイムでの信号減算Ii=
(It−Il)を含む基本的な全ての信号処理動作は、
オペレータが手動で実施したり、もしくは、好ましくは
ソフトウェア作動型コンピュータ33によって自動的に
実施することができる。そのソフトウェアでは、その混
合した情報を分けて、干渉計的成分信号Iiを更なる処
理のため分離することにより、(包絡線信号Ja及びJ
b、並びにその振幅変動信号Iの発生のように)そこか
ら有用な情報を抽出するようにしたり、また、(トレン
チ形成プロセスの完了に関して以下に詳細に説明するよ
うに)それとは異なった式の計算に進んだりすることが
できる。
【0046】ここで、自動プロセスを扱うこととし、そ
して上記ソフトウェアが、リアルタイムで、IsとIと
の強度差の平方根で表される深度D、即ちD=k{Is
−I}1/2を、計算することができる、と仮定する。
尚、その式で、Isはt=0でプロセスが開始した時の
信号Iの振幅変動値である。また、係数kは、エッチン
グ・システム20の特性に適切なものであって、SEM
横断面分析による予備的校正段階で決定するものであ
る。
【0047】それと同時にまた、上記ソフトウェアは、
干渉計的信号Iiの準半周期tuも、これが得られるよ
うになったら(約300秒後。図9参照)直ちに、リア
ルタイムで計算し、そして次に、次式により時間の関数
としての再堆積SiO2層の厚さThを監視する。
【0048】
【数3】Th=(λ/4ntu)t ここで、 λ=選択したバンド(例:407nmのSiBr)の波長 n=SiO2層18の屈折率(n=1.46) tu=信号Iiの半周期 t=エッチング時間 時間t=tfでD=Df(所望のトレンチ深度)となっ
た時、エッチング・プロセスを停止させる。従って、t
fは、運転期間、即ちエッチング終点に達するまでの時
間、もしくは全エッチング時間である。
【0049】本発明の方法及び装置で得られた結果は、
在来の偏光解析法及びSEM横断面分析技法で得られた
ものに匹敵し得るものである。
【0050】次に図10において、X1−X10で指示
した10個の異なるロットから選択したサンプルウェー
ハの最終厚Thfについて、本発明の方法に従って、そ
して次に標準的な偏光解析技法によって、その計測を行
った。その各値は、仕様に与えられている、約150n
mの公称最終厚(Thf)m程度である。曲線40と曲
線41とは、それぞれ、本発明の監視方法で見い出した
値を結んだものと、偏光解析法での値を結んだものとで
ある。この図10は、厚さの点に関して、それら2つの
方法によって得られた結果の間に優れた相関関係がある
ことを示している。
【0051】図11は、上記と同じウェーハX1−X1
0に関して、仕様によって与えられた公称トレンチ深度
値(Df)m=7.5ミクロン程度のDfに関する同様
の計測値を示したものである。トレンチの最終深度は、
まず本発明の方法によって計測し、次に破壊式のSEM
横断面分析によって計測した。曲線42、43は、それ
ぞれ、本発明の方法によって見い出した値を結んだもの
と、SEM横断面分析による値を結んだものとである。
この深度の点からも、それら2つの方法によって得られ
た結果の間には、優れた相関関係がある。
【0052】最後に、それらの理論的な曲線とその測定
データとの間の類似性は、かなり満足なものである。
【0053】 図9は、ある1つの実際的な実験で得た結果を示したも
のである。本エッチング・システム20に対しては、係
数kが約2.2に等しいことを見い出した。この係数
は、通常、月に1回、またはプロセス・パラメータに重
大な変化が起きた後に、本発明の監視方法を用いそして
SEM横断面分析と比較する、サンプル・ウェーハに対
する校正ステップによって、決定する。
【0054】その振幅変動Iは、I=Is−(D/k)
2を用い、Is=22(任意単位)として、連続的に監
視した。
【0055】所望の最終トレンチ深度Dfは、7.5μ
mなので、最終振幅変動I=Ibは、次式で表される。
【0056】
【数4】 Ib=22−(7.5/2.2)2=22−11.6 即ち10(任意単位) この値が得られた時に、エッチング・プロセスを停止さ
せた。
【0057】この値Ibは、全エッチング時間tfを決
めるものであり、これは、図9から数値的に決めてもよ
い、即ちtf=660s(11分)。
【0058】これと同時に、再堆積SiO2層18の厚さ
も監視した。半周期tuは、図9によって与えられ、t
u=250sである。エッチングの終了時における最終
厚Thfは、次の式で得られた。
【0059】
【数5】 Thf=(λ/4ntu)・tf =(40710-9×660)/(4×1.46×250)=184nm 150nmの代わりに、公称期待値を用いた。この実験
では、100nmと200nmとの間のThf値が受け
入れ可能であることが示された。また、その範囲の外で
は、ガス流量比率を補正のために適合させると良い。
【0060】Thを連続的に監視することが重要である
が、その訳は、エッチング・プロセスが余り急速に進む
と、再堆積SiO2層18(図3参照)が不十分な速度で
成長するからである。そのような不十分な速度での成長
の結果として、テーパ角がゼロに等しくなったり(垂直
なトレンチ側壁)、あるいはまた負になることさえもあ
る(トレンチの上部が大きなオーバハング即ち張出を呈
する)。また逆に、エッチング・プロセスが遅すぎる
と、再堆積SiO2層18が所望の速度より高い速度で成
長し、従ってテーパ角が目立ち過ぎるようになって、仕
様を満たさなくなってしまうことになる。これら両方の
場合、上述のように、ガス流量比率を修正して、次に処
理するウェーハに対しそのトレンチ形成プロセスを補正
するようにする。
【0061】まとめると、干渉計的信号Iiにより、ト
レンチ形成プロセス中に再堆積したSiO2層の厚さの計
算が可能となる。それの半周期tuは、これが得られる
ようになったら直ぐにその計算を行う。層18の選択し
た波長と屈折率は、コンピュータ33に記憶させたデー
タである。従って、その厚さThは、リアルタイムで簡
単に計算することができる。トレンチ深度Dのリアルタ
イム計算も、干渉計的信号IIの包絡線信号Ja及びJ
bの振幅変動を判定すれば、後は簡単である。初期のそ
の振幅変動値Isは、プロセスが始まりそしてコンピュ
ータに記憶した時に、決める。
【0062】上で示した数値例は、広くは図10及び図
11のX8で指示したウェーハに対応したものである。
【0063】
【発明の効果】結論として、本発明の監視方法では、プ
ラズマエッチングまたはドライエッチングによるトレン
チ形成プロセスに組み合わせた時には、安全に深いトレ
ンチを提供することでき、しかもそのトレンチを、優れ
た幾何形状的制御によって、再現性良くエッチングする
ことができる。特に、本発明では、パラメータDf及び
Thfを監視することにより最終テーパ角θfを比較的
良好に監視できるので、制御可能及び再現可能な特性、
即ち正確な深度Df及び正確な最終テーパ角θfを与え
ることができる。
【0064】結果として、本監視方法によれば、約7.
5ミクロン(またはこれ以上)の深さのトレンチ中に形
成した信頼性の高いコンデンサをもつ、DRAMセルを
製造することができる。加えて、本方法では、エッチン
グ機器または光学分光計のいずれかに対する最小限の変
更で、または一切変更を加えずに、時間や製品ウェーハ
を節約することができる。図6に示したハードウェアの
全パーツは、市場で容易に入手できるものである。ま
た、パーソナルコンピュータに組み合わせる設計容易の
ソフトウェアにより、オンラインでかつ現場でのデータ
獲得が、同時にあるいは順次的に(分光計を1つだけ用
いる場合)可能であり、2つの分光計が供給するアナロ
グ信号のデジタル処理、そして最後に、ドライエッチン
グによるトレンチ形成プロセスを正確に監視するため
の、そのプロセスの主要パラメータのリアルタイム計
算、が可能となる。
【図面の簡単な説明】
【図1】在来のトレンチ形成プロセスの1部を概略的に
示した、半導体基板の部分的横断面図。
【図2】上記在来のトレンチ形成プロセスの1部を概略
的に例示した、半導体基板の部分的横断面図。
【図3】上記在来のトレンチ形成プロセスの1部を概略
的に例示した、半導体基板の部分的横断面図。
【図4】上記在来のトレンチ形成プロセスの1部を概略
的に例示した、半導体基板の部分的横断面図。
【図5】上記在来のトレンチ形成プロセスの1部を概略
的に例示した、半導体基板の部分的横断面図。
【図6】図1−5に例示したトレンチ形成プロセスを監
視するための上部分光計及び側部分光計を備えた、本発
明の装置の概略を例示した図。
【図7】図6に示した側部分光計が発生する側部信号を
例示した、強度−時間曲線の図。
【図8】図6に示した上部分光計が発生する上部信号を
例示した、強度−時間曲線の図。
【図9】上記の側部信号を上部信号から減算することに
よって得られる、準周期性で減衰形の信号を例示した、
強度−時間曲線であり、この信号の包絡線信号も図示し
てある。
【図10】違ったロット内でサンプルした10枚のウェ
ーハに関して、再堆積した熱分解性SiO2層の最終厚に
ついて、本発明の方法によって得た測定値と、標準の偏
光解析法によって得た測定値と、の間の優れた相関関係
を例示した図。
【図11】違ったロット内でサンプルした10枚のウェ
ーハに関して、トレンチの最終深度について、本発明の
方法とSEM横断面分析とで得た測定値間の優れた相関
関係を例示した図。
【符号の説明】
10:半導体構造 12:トレンチの複合ハードマスク 13:2酸化シリコン層 14:窒化シリコン層 15:熱分解性2酸化シリコン層 16:フォトレジスト層 17:開口 18,18f:再堆積層,最終再堆積層 19,19f:トレンチと最終トレンチ 20:エッチング/監視システム 21:ドライエッチング機 22:エッチング処理チャンバ 23:サセプタ 24:ウェーハ 25:RF周波数電源 26A:側部クォーツ窓 26B:上部クォーツ窓 27:プラズマ 28:監視装置 29A:側部ファイバ式視覚プローブ 29B:上部ファイバ式視覚プローブ 30A,30B:分光計 31:処理ユニット 32:A/D変換器 33:ソフトウェア作動型コンピュータ 34:プロッタ 40,42:本発明による曲線 41,43:在来の方法による曲線 It:上部信号 Il:側部信号 Ii:干渉計的信号 Th,Thf,(Thf)m:厚,最終厚,公称最終厚 θ,θf:テーパ角,最終テーパ角 D,Df,(Df)m:深度,最終深度,公称最終深度 Ws:トレンチ上面での幅 Wb:トレンチ底部での幅
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/822 21/8242 27/04 27/108 H01L 27/04 C 7210−4M 27/10 325 D

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】半導体ウエハにトレンチを形成する間に、
    該トレンチの形成の進行を検出する能力を有するエッチ
    ング装置において、 (a)上記トレンチが形成される半導体ウエハ(24)
    をこれの表面を水平にして収容するエッチング・チャン
    バ(22)と、 (b)該エッチング・チャンバ内に上記半導体ウエハを
    エッチングするプラズマ(27)を発生する手段と、 (c)上記エッチング・チャンバの側壁に設けられた第
    1の観察窓(26A)及び上記エッチング・チャンバの
    上壁に設けられた第2の観察窓(26B)と、 (d)上記トレンチの形成終了を検出する監視装置(2
    0)とを備え、 該監視装置が、 (イ)上記第1の観察窓を通して上記半導体ウエハの上
    の上記プラズマを観測して第1出力信号(Il(3
    6))を発生する第1分光計手段(30A)と、 (ロ)上記第2の観察窓を通して上記プラズマを介して
    上記半導体ウエハの上面を観測して第2出力信号(It
    (37))を発生する第2分光計手段(30B)と、 (ハ)上記エッチングの開始時刻から該エッチングの進
    行につれて、上記第2出力信号(It)の振幅から上記
    第1出力信号(Il)の振幅を減算して、正弦波に類似
    した第3出力信号(38)を発生する手段と、 (ニ)該第3出力信号(38)の包絡線を表す第1包絡
    線信号(Ja(39A))及び第2包絡線信号(Jb
    (39b))を発生し、該第1包絡線信号及び第2包絡
    線信号の間の差の値(I(Is))を判別して上記エッ
    チングの進行を検出する手段とを有することを特徴とす
    る上記エッチング装置。
  2. 【請求項2】上記第1観察窓及び上記第1分光計手段は
    光ファイバにより結合され、そして上記第2観察窓及び
    第2分光計手段は光ファイバにより結合されていること
    を特徴とする請求項1記載のエッチング装置。
  3. 【請求項3】半導体ウエハにトレンチを形成する間に該
    トレンチの形成の進行を検出し、そして上記トレンチの
    形成の間に形成されるSiO2層の厚さを検出する能力
    を有するエッチング方法において、 (a)エッチング・チャンバ(22)内に半導体ウエハ
    (24)をこれの表面を水平にして支持し、そしてプラ
    ズマ(27)を発生させて上記半導体ウエハにトレンチ
    を形成する工程と、 (b)上記半導体ウエハの表面及び上記トレンチの表面
    に上記SiO2層(18)を形成する工程と、 (c)上記トレンチの形成の進行及び上記SiO2層の
    厚さを検出する工程とを含み、 該工程(c)は、 (イ)上記エッチング・チャンバの側壁に設けられた第
    1の観察窓(26A)を通して上記半導体ウエハの上の
    上記プラズマを観察して該プラズマの予定のスペクトル
    を表す第1出力信号(Il(36))を発生すると共
    に、上記エッチングチャンバの上壁に設けられた第2の
    観察窓を通して上記プラズマの上記予定のスペクトル及
    び上記SiO2層の反射率を表す第2出力信号(It
    (37))を発生する工程と、 (ロ)上記エッチングの開始時刻から該エッチングの進
    行につれて、上記第2出力信号(It)の振幅から上記
    第1出力信号(Il)の振幅を減算して、正弦波に類似
    した第3出力信号(38)を発生する工程と、 (ハ)該第3出力信号(38)の包絡線を表す第1包絡
    線信号(Ja(39a))及び第2包絡線信号(Jb
    (39b))を発生し、該第1包絡線信号及び第2包絡
    線信号の間の差の値(I(Is))を判別して上記エッ
    チングの進行を検出し、そして上記第3出力信号の半周
    期に基づいて上記SiO2層の厚さを検出する工程とを
    含む上記エッチング方法。
  4. 【請求項4】上記工程(ハ)は、上記トレンチの深さD
    及びと上記SiO2層の厚さThを、 D=k{I(t
    =0)−I}1/2 ・・・(1)、及び (ただし、 I(t=o)は、上記エッチングの開始時の上記上記第
    1包絡線信号及び上記第2包絡線信号の差の値であり、 Iは、上記開始時の後の時刻における上記上記第1包絡
    線信号及び上記第2包絡線信号の差の値であり、 kは、定数であり、) Th=(λ/4ntu)・t ・・・(2) (ただし、 λは、上記予定のスペクトルの波長であり、 nは、上記SiO2層の屈折率であり、 tuは、上記第3出力信号の半周期であり、 tは、上記エッチング開始時からの経過時間であり、) により検出することを特徴とする請求項3記載のエッチ
    ング方法。
JP4055377A 1991-04-30 1992-03-13 エッチング装置及び方法 Expired - Lifetime JPH0785011B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP91480070A EP0511448A1 (en) 1991-04-30 1991-04-30 Method and apparatus for in-situ and on-line monitoring of a trench formation process
FR91480070:1 1991-04-30
FR914800701 1991-04-30

Publications (2)

Publication Number Publication Date
JPH05118825A JPH05118825A (ja) 1993-05-14
JPH0785011B2 true JPH0785011B2 (ja) 1995-09-13

Family

ID=8208706

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4055377A Expired - Lifetime JPH0785011B2 (ja) 1991-04-30 1992-03-13 エッチング装置及び方法

Country Status (3)

Country Link
US (1) US5578161A (ja)
EP (1) EP0511448A1 (ja)
JP (1) JPH0785011B2 (ja)

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
PL170837B1 (pl) 1991-10-18 1997-01-31 Monsanto Co S rod ek g r zybobó j c zy PL PL PL PL PL PL
TW260857B (ja) * 1993-03-04 1995-10-21 Tokyo Electron Co Ltd
EP0735565B1 (en) * 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6103585A (en) * 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
US5943122A (en) * 1998-07-10 1999-08-24 Nanometrics Incorporated Integrated optical measurement instruments
EP1125314A1 (en) 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
SG87801A1 (en) * 1998-12-10 2002-04-16 Chartered Semiconductor Mfg Method for measuring the depth of a trench in the surface of a semiconductor wafer
US6258437B1 (en) * 1999-03-31 2001-07-10 Advanced Micro Devices, Inc. Test structure and methodology for characterizing etching in an integrated circuit fabrication process
US6400458B1 (en) 1999-09-30 2002-06-04 Lam Research Corporation Interferometric method for endpointing plasma etch processes
US6449038B1 (en) * 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6831742B1 (en) 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
WO2002084471A1 (en) * 2001-04-13 2002-10-24 Sun Microsystems, Inc. Virtual host controller interface with multipath input/output
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US7204934B1 (en) * 2001-10-31 2007-04-17 Lam Research Corporation Method for planarization etch with in-situ monitoring by interferometry prior to recess etch
US6819417B1 (en) 2002-05-07 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd In-line monitoring of silicide quality using non-destructive methods
US6849151B2 (en) * 2002-08-07 2005-02-01 Michael S. Barnes Monitoring substrate processing by detecting reflectively diffracted light
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US6905624B2 (en) 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
US7115426B2 (en) * 2004-08-05 2006-10-03 Credence Systems Corporation Method and apparatus for addressing thickness variations of a trench floor formed in a semiconductor substrate
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US7375034B2 (en) * 2006-03-21 2008-05-20 International Business Machines Corporation Recessing trench to target depth using feed forward data
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105405735B (zh) * 2014-08-22 2017-07-25 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理工艺的监测方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018157021A (ja) 2017-03-16 2018-10-04 東芝メモリ株式会社 半導体記憶装置の製造方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11398369B2 (en) * 2019-06-25 2022-07-26 Applied Materials, Inc. Method and apparatus for actively tuning a plasma power source

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59147433A (ja) * 1983-02-14 1984-08-23 Hitachi Ltd エツチング装置
JPS5986239A (ja) * 1983-09-14 1984-05-18 Hitachi Ltd 膜厚モニタ方法
US4615761A (en) * 1985-03-15 1986-10-07 Hitachi, Ltd. Method of and apparatus for detecting an end point of plasma treatment
JPS61230323A (ja) * 1985-04-05 1986-10-14 Hitachi Ltd エツチング装置
JPH0789047B2 (ja) * 1986-08-20 1995-09-27 富士通株式会社 ウエハ・マスク間ギャップ合わせ方法
US4687539A (en) * 1986-10-29 1987-08-18 International Business Machines Corp. End point detection and control of laser induced dry chemical etching
US4936967A (en) * 1987-01-05 1990-06-26 Hitachi, Ltd. Method of detecting an end point of plasma treatment
US4877479A (en) * 1987-03-20 1989-10-31 University Of New Mexico Method and apparatus for ion deposition and etching
JPH01117034A (ja) * 1987-10-29 1989-05-09 Fujitsu Ltd トレンチエッチング方法
JPH01145508A (ja) * 1987-12-01 1989-06-07 Canon Inc 測定装置
JPH0223617A (ja) * 1988-07-13 1990-01-25 Mitsubishi Electric Corp 半導体基板ウェハの溝形成方法
JPH02137852A (ja) * 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd フォトレジストの現像終点検出方法
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
US5002631A (en) * 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method

Also Published As

Publication number Publication date
US5578161A (en) 1996-11-26
JPH05118825A (ja) 1993-05-14
EP0511448A1 (en) 1992-11-04

Similar Documents

Publication Publication Date Title
JPH0785011B2 (ja) エッチング装置及び方法
JP3429137B2 (ja) トレンチ形成プロセスのリアルタイム現場監視のための方法
EP0735565B1 (en) Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
KR100769607B1 (ko) 반도체 웨이퍼의 처리방법 및 처리장치
JP4841953B2 (ja) 凹部エッチング制御方法
US5877032A (en) Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5694207A (en) Etch rate monitoring by optical emission spectroscopy
JP4563584B2 (ja) プラズマ・エッチング工程の精度を改善する方法および装置
US7201174B2 (en) Processing apparatus and cleaning method
JPH09283585A (ja) デバイス製造方法
US6762849B1 (en) Method for in-situ film thickness measurement and its use for in-situ control of deposited film thickness
KR20040111072A (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
JPH07183348A (ja) デバイスの製造方法
JP3854810B2 (ja) 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
KR20170107094A (ko) 광학적 메트롤로지 및 센서 디바이스를 이용한 에칭 프로세스 제어 방법 및 시스템
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
Benson et al. Sensor systems for real‐time feedback control of reactive ion etching
JP4068986B2 (ja) 試料のドライエッチング方法及びドライエッチング装置
JP2006119145A (ja) 半導体ウエハの処理方法及び処理装置
JP3195695B2 (ja) プラズマ処理方法
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
JPH0567590A (ja) 半導体装置のエツチングにおける終点検出方法
JPH04236426A (ja) 半導体製造装置
JPH09205076A (ja) 半導体素子の製造プロセスのモニタ方法
KR20030072031A (ko) 반도체 애싱설비의 스트립불량 감지장치 및 그 방법