KR20030031152A - 집적된 얕은 트렌치 분리 접근법 - Google Patents

집적된 얕은 트렌치 분리 접근법 Download PDF

Info

Publication number
KR20030031152A
KR20030031152A KR10-2003-7002070A KR20037002070A KR20030031152A KR 20030031152 A KR20030031152 A KR 20030031152A KR 20037002070 A KR20037002070 A KR 20037002070A KR 20030031152 A KR20030031152 A KR 20030031152A
Authority
KR
South Korea
Prior art keywords
substrate
hard mask
process chamber
plasma
photoresist
Prior art date
Application number
KR10-2003-7002070A
Other languages
English (en)
Other versions
KR100852372B1 (ko
Inventor
류웨이
윌리암스스코트
위엔스티븐
무이데이비드
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20030031152A publication Critical patent/KR20030031152A/ko
Application granted granted Critical
Publication of KR100852372B1 publication Critical patent/KR100852372B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

기판 프로세스 챔버내에 배치된 실리콘 기판을 처리하는 방법은 기판을 기판 프로세스 챔버 내부로 이송하는 단계를 포함한다. 상기 기판상에는 하드 마스크가 형성되어 있고, 상기 하드 마스크상의 포토레지스트가 패터닝되어 상기 하드 마스크의 일부분이 노출된다. 상기 챔버는 소스 전력계와 바이어스 전력계를 갖는 종류이다. 상기 방법은 상기 하드 마스크의 노출된 일부분을 에칭하여 상기 하드 마스크 아래의 실리콘 기판의 일부분을 노출시키는 단계를 더 포함한다. 그 후, 패터닝된 포토레지스트가 제 1 프로세스 가스로부터 형성된 제 1 플라즈마에 노출되어 상기 하드 마스크로부터 상기 포토레지스트가 제거된다. 그 후, 소스 전력계로부터의 RF 에너지를 인가함으로써 제 2 프로세스 가스로부터 형성된 제 2 플라즈마에 기판을 노출시키고 상기 플라즈마를 상기 기판을 향하여 바이어스시킴으로써 노출된 실리콘 기판이 에칭된다. 그 다음에, 기판은 기판 프로세스 챔버 외부로 이송된다.

Description

집적된 얕은 트렌치 분리 접근법{INTEGRATED SHALLOW TRENCH ISOLATION APPROACH}
발명의 배경
본 발명은 실리콘의 에칭 방법에 관한 것이다. 좀더 구체적으로, 본 발명은 동일 챔버 내에서 포토레지스트 제거 단계 및 실리콘 에칭 단계를 수행하는 것을 포함하는 통합 실리콘 에칭 공정에 관한 것이다.
일반적으로, 오늘날의 반도체 칩은 수백만개의 트랜지스터들을 포함한다. 인접한 트랜지스터들 사이에서 발생하는 단락을 방지하기 위해 트랜지스터들 사이에는 분리 구조가 제공된다. 일반적으로 사용되는 분리 구조는 얕은 트렌치 소자분리 (STI) 구조이다. STI 구조는 일반적으로 엑스-시츄 (ex-situ) 하드마스크 STI 또는 인-시츄 (in-situ) 포토레지스트 STI 방법을 사용하여 제조되고 있다.
엑스-시츄 하드마스크 방법은 일반적으로 실리콘 기판 상에 패드 산화물층과 실리콘 질화물층을 연속적으로 형성하는 것을 포함한다. 질화물층 상에 포토레지스트를 형성한 후 패터닝한다. 그 기판을 제 1 챔버로 이송하여 질화물층과 하부 산화물층의 노출된 부분을 제거한다. 이후, 기판을 제 2 챔버로 이송하여 포토레지스트를 제거한다. 그리고, 기판을 제 3 챔버로 이송하여 실리콘 기판의 노출된 부분을 에칭하여 트렌치를 형성한 후 SiO2등의 절연물질로 채우면얕은 트렌치 분리 구조가 형성된다. 제 1, 2 및 3 챔버 각각은 개별 에칭 공정을 수행하도록 최적화된다. 이 방법에 의하면, 적어도 서로 다른 3 개의 챔버가 필요하므로 웨이퍼당 드는 총비용을 증가시킨다는 문제가 있다. 또한, 이러한 3 개의 챔버들 내부 및 외부로 기판들을 이송하는 것은 생산량을 감소시키고 파티클 및/또는 결함 제어 문제를 발생시킬 수 있다.
이러한 단점들 때문에, 최근에는 엑스-시츄 하드마스크 방법보다는 한개 적은 챔버를 사용하는 인-시츄 포토레지스트 방법이 더 널리 사용되고 있다. 이 공정은 실리콘 기판 상에 패드 산화물층과 실리콘 질화물층을 연속적으로 형성하는 것을 포함한다. 질화물층 상에 포토레지스트를 형성한 후 패터닝한다. 그 기판을 실리콘 에칭 챔버로 이송한다. 질화물층과 하부 산화물층의 노출된 부분을 에칭하여 실리콘 기판의 선택 영역을 노출시킨다. 그 다음에, 동일 챔버 내에서, 기판의 선택 영역을 에칭하여 트렌치를 형성한다. 그리고, 기판을 포토레지스트 제거 챔버로 이송하여 패터닝된 포토레지스트를 제거한다.
이러한 인-시츄 포토레지스트 방법은, 엑스-시튜 하드마스크 방법보다 향상된 생산성 및 좀더 양호한 파티클/결함 제어 관리를 제공하지만, 전자의 방법은 자체적으로 몇가지 문제를 유발한다. 우선, 실리콘 에칭 단계로부터의 부산물, 예를 들어 SiO2가 남아 있는 포토레지스트와 혼합되므로, 실리콘 에칭 이후에 제거한다면 포토레지스트를 제거하기 어렵다는 문제가 있다. 또한, 인-시츄 방법은 엑스-시츄 하드 마스크 단계에 비해 프로파일 마이크로-로딩 (micro-loading) 효과를 증가시킨다는 다른 문제가 있다. 일반적으로, 전자는 85 도의 테이퍼 각을 갖도록 설정된 트렌치에 대해 8 - 10 도 정도의 편차를 갖게 한다. 이에 비해, 후자는 일반적으로 설정된 구조에 대해 3 - 5 도의 편차를 발생시킨다. 프로파일 마이크로-로딩 효과는, 조밀한 영역과 소자분리 영역에서의 트렌치 테이퍼 각도의 차이에 관한 것이다. 또한, 인-시츄 포토레지스트 방법은 엑스-시츄 하드마스크 방법에 비해 에칭율 마이크로-로딩 효과를 증가시킨다. 일반적으로, 전자는 약 3500 Å 의 깊이로 에칭된 트렌치에 대해 300 Å 이상의 에칭율 깊이 편차를 발생시킨다. 이에 비해, 후자는 실질적으로 동일한 구조에 대해 약 200 Å 이하의 에칭율 깊이 편차를 갖게 된다. 에칭율 마이크로-로딩 효과는 조밀한 영역과 소자분리 영역에서 에칭율 깊이의 차이에 관한 것이다.
따라서, 인-시츄 포토레지스트 단계 STI 방법과 관련된 단점없이 생산성을 증가시키고 파티클/결함 제어를 개선할 수 있는 STI 방법을 개발하는 것이 요구되고 있다
발명의 요약
본 발명은 개선된 실리콘의 에칭 방법을 제공한다. 본 발명의 실시예들은 동일 챔버 내에서 포토레지스트 제거 단계와 실리콘 에칭 단계를 수행하는 것을 개시한다. 본 발명은 실리콘 기판 처리의 생산성을 증가시킨다.
본 발명의 일실시예에 의하면, 기판 프로세스 챔버 내에 배치된 실리콘 기판을 처리하는 방법은 기판 프로세스 챔버 내로 기판을 이송하는 단계를 포함한다. 기판 상에는 하드마스크가 형성되어 있고, 하드마스크 상에는 하드 마스크의 일부를 노출시키는 패터닝된 포토레지스트가 형성되어 있다. 챔버는 소스 전력 시스템과 바이어스 전력 시스템을 갖는 타입이다. 본 발명은 하드마스크의 노출된 부분을 에칭하여 하드마스크 하부의 실리콘 기판의 일부를 노출시키는 단계를 더 포함한다. 그후, 패터닝된 포토레지스트를 제 1 공정 가스로부터 형성된 제 1 플라즈마에 노출시켜, 하드마스크로부터 포토레지스트를 제거한다. 다음에, 소스 전력 시스템으로부터 RF 에너지를 인가하여 제 2 공정 가스로부터 형성된 제 2 플라즈마에 기판을 노출시키고 기판측으로 플라즈마를 바이어스시켜, 노출된 실리콘 기판을 에칭한다. 기판 처리 챔버 외부로 기판을 이송시킨다.
이하, 본 발명의 이들 실시예들과 다른 실시예들 및 그 장점과 특징들을 다음의 텍스트와 첨부된 도면들을 참조하여 설명한다.
도면의 간단한 설명
도 1a 는 본 발명의 방법이 실행될 수 있는 반도체 웨이퍼 처리 시스템의 일례를 나타내는 부분 단면 개략도이다
도 1b 는 도 1a 의 반도체 웨이퍼 처리 시스템의 블럭도이다.
도 2a 는 패터닝된 포토레지스트층을 갖는 반도체 기판의 간략 단면도이다.
도 2b 는 하드마스크와 산화물을 개구한 후 도 2a 의 반도체 기판의 간략 단면도이다.
도 2c 는 포토레지스트를 제거한 후 도 2b 의 반도체 기판의 간략 단면도이다.
도 2d 는 트렌치를 에칭한 후 도 2c 의 반도체 기판의 간략 단면도이다.
도 2e 는 소프트 세정 단계를 수행한 후 도 2d 의 반도체 기판의 간략 단면도이다.
도 3 은 본 발명의 일 실시예에 의한 공정의 일례를 나타내는 흐름도이다.
도 4a 는 기판의 중심에서 조밀 영역의 트렌치 단면의 SEM 사진의 스케치도이다.
도 4b 는 기판의 중심에서 소자분리 영역의 트렌치 단면의 SEM 사진의 스케치도이다.
도 4c 는 기판의 에지에서 조밀 영역의 트렌치 단면의 SEM 사진의 스케치도이다.
도 4d 는 기판의 에지에서 소자분리 영역의 트렌치 단면의 SEM 사진의 스케치도이다.
본 발명을 잘 이해하기 위해서는, 다음의 상세한 설명을 참고해야 한다.
실시예의 설명
Ⅰ. 챔버의 일례
도 1a 는 본 발명의 방법이 실행될 수 있는 반도체 웨이퍼 처리 시스템 (100) 의 일례를 나타내는 개략도이다. 시스템 (100) 은 프로세스 챔버 (101), 소스 전력 공급장치 (105), 바이어스 전력 공급장치 (106) 및 제어기 (140) 를 구비한다. 프로세스 챔버 (101) 는 돔 (103), 실린더형 측벽 (111), 및 기저부 (113) 에 의해 한정되는 프로세스 공간 (104) 을 구비한다. 돔 (103) 은 통상 세라믹 또는 석영 등의 절연 재료로 제조된다. 측벽 (111) 과 기저부 (113) 는통상 알루미늄 또는 스테인레스 스틸 등의 금속으로 제조된다. 소스 전력 공급장치 (105) 는 RF 신호 (예를 들어, 12.56 MHz) 를 안테나 (102) 에 인가한다. 안테나 (102) 는 상단부 (103) 근처에 위치한 복수의 턴 (turn) 을 갖고, 프로세스 공간 (104) 내에 위치한 프로세스 가스 (또는 가스들) 를 여기시키는 RF 전자기 필드를 형성하여 플라즈마 (130) 를 형성 및/또는 유지한다.
점선으로 도시한 로봇암 (112) 은 슬릿 밸브 (114) 를 통하여 프로세스 챔버 (101) 의 내부 및 외부로 웨이퍼 (110) 를 이송한다. 기판 처리 동안, 부분적으로 형성된 집적회로 구조를 포함할 수도 있는 반도체 웨이퍼 (110) 는 지지대 (정전척, 107) 상에서 유지된다. 웨이퍼 (110) 는 플라즈마에 노출되어 처리된다. 플라즈마 (130) 의 이온과 전자들이 웨이퍼 (110) 에 충돌한다. 전자는 이온보다 이동성이 크므로, 이온보다 더 많은 전자들이 웨이퍼와 충돌하게 된다. 그 결과, 웨이퍼 (110) 는 음의 바이어스를 얻게 된다. 음의 바이어스는 플라즈마의 양이온들을 웨이퍼 (110) 측으로 가속시킨다. 지지대 (107) 와 웨이퍼는 바이어스 전력 공급장치 (106) 에 의해 지지대 (107) 로 공급되는 RF 신호 (예를 들어, 400 KHz 또는 13.56 MHz) 에 의해 바이어스된다. 플라즈마 (130) 내의 이온 밀도는 안테나 (102) 에 인가된 신호에 의해 일차적으로 제어되고, 이온의 에너지는 지지대 (107) 에 인가된 신호에 의해 일차적으로 제어된다.
챔버 (101) 내의 온도는, 챔버의 측벽 (111) 과 돔 (103) 내부에서 분리된 열교환 통로들 (미도시) 을 통하여 유체 (예를 들어, 수계 에틸렌 글리콜) 을 순환시킴으로써 부분적으로 제어된다. 에칭될 기판의 온도는, 지지대 (107) 내부의 통로들 (미도시) 을 통하여 기판의 후면측에 가스를 공급하고 지지대 내부의 열교환 통로들 (미도시) 을 통하여 유체를 순환시킴으로써 제어된다.
프로세스 모니터 (108) 는 프로세스 챔버 (101) 내부의 상태를 모니터한다. 프로세스 모니터는 챔버 (101) 내부에서 발생하는 프로세스에 의존하는 상태들을 측정하는 임의의 센서 또는 센서들의 조합일 수 있다. 예를 들어, 프로세스 모니터 (108) 는 광학방출 분광계 (Optical Emission Spectrometer; OES) 이다. OES 는 플라즈마 (130) 로부터 방사광의 방출을 모니터한다. 그러한 방사광은 프로세스 챔버 (101) 내에서 발생하는 프로세스의 진행에 의존한다. 또는, 프로세스 모니터 (108) 가 웨이퍼 (110) 표면으로 에칭된 트렌치의 깊이 등의 고도를 측정하는 간섭계를 포함할 수도 있다. 그러한 간섭계는 트렌치의 상단과 바닥으로부터 반사된 광의 간섭에 의해 트렌치의 깊이를 측정한다. 프로세스 모니터가 OES 또는 간섭계인 경우, 챔버 (101) 내부로부터의 방사광은 사파이어 또는 석영 상단부 (103) 등의 투명 조리개를 통하여 프로세스 모니터에 연결된다. 또는, 이를 위해 상단부 (103) 또는 측벽 (111) 에 분리된 윈도우를 설치할 수도 있다.
프로세스 모니터 (108) 와 시스템 (100) 의 여러 구성부재들은 제어기 (140) 에 접속된다. 제어기 (140) 는 프로세스 챔버 (101) 에서 발생하는 프로세스를 시작하고, 모니터하고, 조절하고, 종료하기 위한 필수 신호들을 공급하는 하드웨어를 구비한다. 프로세스 챔버 (101) 는, 예를 들어, 캘리포니아주 산타 클라라 소재의 Applied Materials 사에 의해 제조된 디커플드 플라즈마 소스 (DPS) 에칭챔버이다. 챔버 (101) 는 반도체 웨이퍼를 처리하는 데 필수적인 구성요소들을 포함한다.
통상, 챔버 (101) 의 외부는 대기압 상태이고, 챔버 (101) 의 내부는 처리 동안 감압 상태로 유지된다. 배기계 (109) 가 챔버 (101) 내부의 압력을 조절한다. 가스 패널 (120) 은 가스 라인 (122) 과 밸브 (124) 를 통하여 챔버 (101) 로 프로세스 가스를 전달한다. 에칭 프로세스 등의 프로세스시에는, 프로세스 가스에 RF 전력을 인가하여 챔버 (101) 내부에 플라즈마를 형성한다. RF 전원 (105) 은 안테나 (102) 에 전력을 공급하여 챔버 (101) 내부에 플라즈마를 형성하고 유지시킨다. 프로세스 챔버 내에서 플라즈마를 여기시키기 위해, 프로세스 가스를 공급하고 안테나에 소스 전력을 인가하고, 지지대에 바이어스 전력을 인가하는 등의 복수의 단계들이 필요하다는 것은, 당업자들에게는 자명한 것이다. 이러한 단계들은 당업자들에게 공지된 것이므로 추가의 설명은 생략한다.
본 발명의 방법은, 도 1a 의 제어기 (140) 와 같은 프로세서 기반 시스템 제어기에 의해 제어된 시스템에서 실행될 수 있다. 도 1b 는 그런 용량에서 채용될 수 있는 시스템 제어기 (140) 를 갖는 도 1a 에 도시된 바와 같은 처리 시스템 (100) 의 블록도이다. 시스템 제어기 장치 (140) 는 컴퓨터 판독가능 메모리 (162) 로 작동할 수 있는 프로그램가능한 중앙 처리 장치 (CPU), 매스 기억 장치 (164), 입력 제어 장치 (166), 및 디스플레이 장치 (168) 를 구비한다. 또한, 시스템 제어기는 전력 공급장치 (174), 클럭 (176), 캐시 (178), 입/출력 (I/O) 회로 (180) 등의 공지된 지원 회로들 (172) 을 더 구비한다. 제어기 (140) 는 챔버 (101) 내의 센서 (181) 를 통하여 웨이퍼 처리를 모니터링하는 하드웨어를 구비한다. 센서들은 웨이퍼 온도, 챔버 내부 압력 등의 시스템 파라미터들을 측정한다. 상기 모든 구성요소들은 제어 시스템 버스 (170) 에 접속된다.
메모리 (162) 는 CPU (160) 가 처리 시스템 (158) 의 작동을 제어하기 위해 실행하는 명령어들을 포함한다. 메모리 (162) 내의 명령어들은 본 발명의 방법을 실행하는 프로그램 (190) 등과 같이 프로그램 코드의 형태이다. 프로그램 코드는 다수의 다른 프로그램 언어들 중 어느 하나와 일치할 수도 있다. 예를 들어, 프로그램 코드는 C, C++, BASIC, 파스칼, 또는 다수의 다른 언어들로 기입될 수 있다. 컴퓨터 프로그램 (190) 은 다수의 다른 서브루틴들을 포함할 수도 있다. 예를 들어, 특정 실시예에서, 프로그램 (190) 은 프로세스 선택 서브루틴, 프로세스 순서결정 서브루틴, 및 멀티챔버 시스템에서 각 챔버를 위한 챔버 관리 서브루틴을 포함한다. 또한, 프로그램 (190) 은, 예를 들어, 기판 위치결정 서브루틴, 프로세스 가스 제어 서브루틴, 압력 제어 서브루틴, 온도 제어 서브루틴, 및 플라즈마 제어 서브루틴 등을 포함하는 각 쳄버 (101) 의 개별 구성부재들을 제어하기 위한 서브루틴들을 포함한다. 프로세스 챔버 (101) 에서 수행될 프로세스에 따라, 다른 챔버 제어 서브루틴들이 포함될 수 있음은 당업자들에게 자명한 것이다.
프로세스 선택 서브루틴은, (i) 소정 프로세스 챔버 (챔버 (101) 가 멀티챔버 처리 시스템의 부분인 경우) 및 (ii) 소정의 프로세스를 수행하기 위한 프로세스 챔버를 작동시키기 위해 필요한 프로세스 파라미터들의 소정의 세트를 확인한다. 특정한 프로세스를 수행하기 위한 프로세스 파라미터들은, 프로세스 가스 조성, 유량, 온도, 압력, RF 전력 레벨과 저주파수 RF 주파수 등의 플라즈마 조건, 냉각 가스 압력, 및 챔버벽 온도 등의 프로세스 조건들에 관한 것이다. 이 파라미터들은 레서피의 형태로 사용자에게 제공되고, 입력 제어 인터페이스 (166) 를 이용하여 입력될 수 있다.
프로세스 순서결정 서브루틴은, 프로세스 선택 서브루틴으로부터 확인된 프로세스 챔버와 프로세스 파라미터들의 세트를 수용하고 멀티챔버 시스템 내에서 여러 프로세스 챔버들의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다수의 사용자들이 프로세스 세트 개수와 프로세스 챔버 개수들을 입력하거나, 다수의 프로세스 세트 개수와 프로세스 챔버 개수들을 입력할 수 있고, 이 경우 순서결정 서브루틴은 소정의 순서로 선택된 프로세스들의 스케줄을 작성한다. 바람직하게, 순서결정 서브루틴은, (i) 챔버가 사용되고 있는지를 판별하기 위해 프로세스 챔버의 작동을 모니터하고, (ii) 사용되고 있는 챔버에서 프로세스가 수행되고 있는지를 판별하고, (iii) 프로세스 챔버의 사용가능성과 수행될 프로세스의 종류에 기초하여 소정의 프로세스를 실행하기 위한 프로그램 코드를 포함한다. 폴링 (polling) 과 같이, 프로세스 챔버를 모니터링하는 종래의 방법이 사용될 수도 있다. 어떤 프로세스가 실행될 것인지의 스케줄을 작성할 때, 순서결정 서브루틴은, 선택된 프로세스에 대한 소정의 프로세스 조건들과 비교하여 사용되고 있는 프로세스 챔버의 현재 조건들, 또는 각각의 개별 사용자가 입력한 요구의 "수명", 또는 시스템 프로그래머가 스케줄 작성의 우선순위를 결정하기 위해 포함시키기를 원하는 임의의 다른 상대적인 팩터들을 고려할 수 있다.
순서결정 서브루틴이 다음에 실행될 프로세스 챔버와 프로세스 세트의 조합을 결정하면, 순서결정 서브루틴은, 순서결정 서브루틴에 의해 결정된 프로세스 세트에 따라 특정 프로세스 챔버에서의 다수의 처리 단계들을 제어하는 특정 챔버 관리 서브루틴에 특정한 프로세스 세트 파라미터들을 전달하여 프로세스 세트의 실행을 개시한다. 작동시에, 챔버 관리 서브루틴은 선택적으로 스케줄을 작성하거나 실행될 특정한 프로세스 세트에 따라 프로세스 구성부재 서브루틴을 호출한다. 통상, 챔버 관리 서브루틴은 다양한 챔버 구성부재들을 모니터하고, 실행될 프로세스 세트에 대한 프로세스 파라미터들에 기초하여 어떤 구성부재들을 동작시켜야 하는지를 결정하고, 모니터링과 결정 단계에 응답하여 개별 챔버 구성부재 서브루틴의 실행을 개시한다.
특정 챔버 구성부재 서브루틴들 각각을 실행하는 방법은 당업자들에게는 자명한 것이다. 예를 들어, 기판 위치결정 서브루틴은, 지지대 (107) 상에 기판을 로딩하고, 선택적으로, 기판 처리를 위해 챔버 (101) 에서 소정 높이로 지지대와 기판을 이동시키는 데 사용되는 챔버 구성부재를 제어하는 프로그램 코드를 포함한다.
매스 스토리지 (164) 는 데이터와 명령어들을 저장하고, 자기 디스크 또는 자기 테이프 등의 프로세서 판독가능 저장 매체로부터 데이터와 프로그램 코드 명령어들을 검색한다. 예를 들어, 매스 스토리지 (164) 는 하드 디스크 드라이브, 플로피 디스크 드라이브, 테이프 드라이브, 또는 광디스크 드라이브일 수 있다. 매스 스토리지 (164) 는 CPU (160) 로부터 수신한 지시에 응답하여 명령어들을 저장하고 검색한다. 매스 스토리지 (164) 에 의해 저장되고 검색된 데이터와 프로그램 코드 명령어들은 처리 시스템 (100) 을 작동시키기 위한 처리 장치 (160) 에 의해 채용된다. 데이터와 프로그램 코드 명령어들은, 우선 매스 스토리지 (164) 에 의해 매체로부터 검색된 후 메모리 (162) 로 전송되어 CPU (160) 에 의해 사용된다.
입력 제어 장치 (166) 은, 키보드, 마우스, 또는 광 펜 등의 데이터 입력 장치를 처리 장치 (160) 에 접속시켜, 챔버 오퍼레이터의 입력을 수신한다. 디스플레이 장치 (168) 는 CPU (160) 의 제어하에서 그래픽 디스플레이와 영숫자 편집 문자의 형태로 챔버 오퍼레이터에게 정보를 제공한다.
제어 시스템 버스 (170) 는 제어 시스템 버스 (170) 에 접속된 모든 장치들 사이에서 데이터와 제어 신호를 전송하기 위해 제공된다. 제어 시스템 버스가 CPU (160) 에서는 장치들을 직접 접속시키는 신호 버스로 표시되지만, 제어 시스템 버스 (170) 는 버스들의 집합일 수도 있다. 예를 들어, 디스플레이 장치 (168), 입력 제어 장치 (166) 및 매스 스토리지 (164) 는 입/출력 주변 버스에 접속되고, 한편 CPU (160) 와 메모리 (162) 는 로컬 프로세서 버스에 접속될 수 있다. 로컬 프로세서 버스와 입/출력 주변 버스는 함께 접속되어 제어 시스템 버스 (170) 를 형성한다.
시스템 제어기 (140) 는 시스템 버스 (170) 와 I/O 회로 (180) 를 통해 본 발명에 의한 에칭 프로세스에서 채용되는 처리 시스템 (100) 의 구성요소들에 접속된다. 이들 구성요소들은 복수의 밸브 (182, 도 1a 의 밸브 (124) 등), 프로세스 모니터 (108), 배기계 (109), 소스 전력 공급장치 (105), 바이어스 전력 공급장치 (106), 슬릿 밸브 (114), 가스 패널 (120), 로봇 암 (112), 챔버 센서 (181) 및 광학 믹서 블럭 (184, 도 1a 에는 도시하지 않았으나 가스 패널 (120) 또는 챔버 (101) 중 어느 하나에 연결될 수 있음) 을 포함한다.
시스템 제어기 (140) 는 다양한 챔버 구성요소들에 신호를 전달하여, 챔버 (101) 내에서 소정의 프로세스를 실행하도록 이들 구성요소들을 작동시킨다.
여기서, 본 발명은 소프트웨어로 수행되고 범용의 컴퓨터 상에서 실행되는 것으로 설명하였으나, 본 발명이 주문형 반도체 (ASIC) 또는 다른 하드웨어 회로 등의 하드웨어를 사용하여 수행될 수 있다는 것은 당업자들에게는 자명한 것이다. 즉, 본 발명은 전체적으로 또는 부분적으로, 소프트웨어, 하드웨어 또는 양자에 의해 수행될 수 있다. 또한, 기판 처리 시스템 (100) 을 제어하기 위해 적절한 컴퓨터 시스템을 선택하는 것도 당업자들에게는 자명한 것이다.
Ⅱ. 본 발명에 따른 실리콘 처리
본 발명의 방법은 전술한 실시예의 챔버와 같은 적절한 기판 처리 챔버에서 다양한 애플리케이션용 실리콘을 에칭하는데 이용될 수 있다. 그러나, 설명 목적을 위해, 쉘로우 트렌치 고립을 위한 실리콘 기판 내의 에칭 트렌치에 관련된 단계에 관한 본 발명을 아래에 설명한다. 이하의 설명에서의 참조 번호는 도 1a 및 도 1b에 나타낸 실시예의 챔버의 적절한 부품을 나타내기 위해 이용된다.
도 2a 내지 도 2e, 및 도 3을 참조하면, 프로세스 (200, 도 3) 는, 실리콘에칭 챔버와 같은, 프로세스 챔버 (101) 의 페데스탈 (107) 상에 기판 (50, 도 2a) 을 위치시키는 단계를 포함한다 (단계 201). 기판은 그 위에 제공된 패드 산화물층 (52), 패드 산화물층 상에 제공된 실리콘 질화물층과 같은 하드 마스크층 (53), 및 공지된 기술에 의해 하드 마스크층 상에 제공된 패턴화된 포토레지스트 (54) 를 포함한다. 통상, 패드 산화물층은 기판 상에 형성되어, 하드 마스크층에 대한 우수한 접착 표면을 제공한다. 일 실시 형태에서, 기판은 하드 마스크층 (53) 과 패드 산화물층 (52) 사이에 반사 방지 코팅층 (미도시) 과 같은 유전체층을 포함할 수 있다.
도 2b를 참조하면, 기판을 챔버에 투입시키면, 하드 마스크층 (53) 이 개방되어 그 하부에 위치되어 있던 패드 산화물층이 노출된다 (단계 202). 통상, 하드 마스크층의 선택된 영역을 제거하기 위해 이방성 (anisotropic) 에칭 기술이 이용된다. 단계 202에 의해 노출된 패드 산화물 (52) 의 영역들이 제거되어 실리콘 기판 (단계 204) 이 노출된다. 본 발명의 일 실시 형태에 따른, 질화물 및 산화물 개방 단계에 이용되는 프로세스 레시피를 아래의 표 1 및 표 2에 각각 나타낸다. 표 1및 표 2에서, Wb는 바이어스 전원 (106) 에 의해 인가된 바이어스 전력을, Ws는 소스 전원 (105) 에 의해 제공된 소스 전력을, 압력은 에칭 프로세스 동안의 챔버 내의 압력을 나타낸다. 질화물 개방 단계에서, 이 단계의 기간을 결정하기 위해 종점 검출이 이용된다; 예를 들면, 이 단계는 하부에 위치된 산화물층이 종점 검출 시스템에 의해 검출될 때까지 실시된다.
[표 1]
[표 2]
전술한 질화물-개방 단계용 프로세스 레시피는, 1.3:1의 질화물과 포토레지스트간의 선택비를 가지며, 질화물층은 포토레지스트보다 1.3배 빠르게 제거된다. 예를 들면, 1500Å의 두께를 갖는 질화물층 (53) 에 대해, 패턴화된 포토레지스트층은 약 1154Å의 최대 두께를 갖는 것이 필요하다. 포토레지스트층 (54) 은, 이러한 현상들을 보완하기 위해, 500 내지 900Å의 추가 두께를 갖는 것이 바람직하다. 따라서, 일 실시 형태에서는, 1500Å의 두께를 갖는 질화물층을 개방하기 위해, 포토레지스트층 (54) 은 약 1650Å 내지 2150Å의 초기 두께를 갖는다. 질화물 개방 단계 202가 완료되면, 하드 마스크 상의 포토레지스트 잔존물은 통상 약 500 내지 900Å의 두께를 갖는다. 질화물층이 개방되면, 몇몇 경우, 질화물층이 포토레지스트층의 위치에서 이용되기 때문에, 포토레지스트 잔존물은 하부에 위치된 산화물층을 개방할 필요가 없다. 다른 실시 형태에서, 포토레지스트층 (54) 은, 포토레지스트층과 함께 하부에 위치된 질화물층 및 산화물층을 개방하기 위해. 약 2300Å 내지 3500Å, 바람직하게는 3000Å의 초기 두께를 갖는다. 본 발명의 소정의 실시형태에서는, 실리콘 기판 상의 트렌치의 에칭 이전에 포토레지스트층을 스트립하기 때문에, 포토레지스트층의 두께는 최소로 유지될 수 있다.
그러나, 통상의 원 위치에서의 포토레지스트 방법은, 질화물층 상의 포토레지스트를 제거하고 트렌치를 에칭하는데 이용되기 때문에, 매우 두꺼운 포토레지스트층을 필요로 한다. 통상의 원 위치에서의 방법에서는, 약 3000Å의 깊이를 갖는 트렌치를 에칭하기 위해, 2000Å 또는 그 이상의 추가 포토레지스트가 필요하다. 예를 들면, 그 상부에 질화물층 및 산화물층을 각각 1500Å, 100Å를 갖는 실리콘 기판 상에 약 3000Å의 깊이를 갖는 트렌치를 형성하기 위해, 통상의 방법에서는 약 5000Å 이상의 두께를 갖는 포토레지스트층이 이용된다. 이러한 통상의 방법에서는, 장치 사이즈가 감소할수록 포토레지스트 패턴의 아스펙트 비율 (aspect ratio) 이 증가하기 때문에, 보급된 장치에 의해 0.18㎛ 이하로 구비되는 것은 어렵다. 그 결과, 통상의 원 위치에서의 포토레지스트 방법은 프로세스 한계에 도달하였고, 포토리소그래피 프로세스의 초점 깊이 (depth of focus) 제한으로 인해, 0.13㎛ 장치와 같은 소형 장치 제조용으로 더 이상 이용될 수 없었다.
또한, 본 발명의 소정의 실시 형태 하의 원 위치에서의 하드 디스크 방법에서는, 전술한 바와 같은, 3000Å 이하의 두께를 갖는 포토레지스트층을 이용할 수있다. 그 결과, 장치 사이즈의 소형화는 포토레지스트 두께의 감소에 의해 오프셋 (offset) 됨으로써, 장치 소형화에 따른 포토레지스트 패턴의 애스펙트 비의 증가를 저하 및 감소시킨다. 따라서, 본 발명에 따른 원 위치에서의 하드 디스크 방법은, 0.18㎛, 0.13㎛, 및 그 이하의 최소 형태 사이즈를 갖는 장치의 프로세스에 대해 이용될 수 있다.
도 2c를 참조하면, 하드 마스크 및 패드 산화물층을 개방한 후, 산화물 가스로부터 플라즈마 점화를 이용하여, 예를 들면, 실리콘 에칭 챔버에서 기판을 제거하지 않고, 포토레지스트층 잔존물을 원 위치에서 스트립한다 (단계 206). 이는 통상의 방법에 반하는 것이다. 통상, 포토레지스트는, 기판 상의 구조체에 손상을 유발할 수도 있기 때문에, 다른 위치에 있는 소정의 레지스트-스트리핑 챔버 (다운스트림 챔버) 에서, 플라즈마에 직접 노출시키기 보다는 대부분 라디칼 (radical) 을 반응시켜 포토레지스트를 노출시킴으로써, 스트립된다. 따라서, 실리콘 에칭 챔버내의 원 위치에서 플라즈마로 레지스트를 직접 노출시킴으로써 포토레지스트를 스트리핑하는 컨셉은, 프로세스 엔지니어에 의해 실행될 수 없는 것이였다. 다른 위치에서 포토레지스트를 스트리핑하는 다른 이유는, 최근까지 포토레지스트가 상대적으로 높은 금속 불순물 레벨을 포함했기 때문이다. 그 결과, 원 위치의 에칭 챔버에서 레지스트를 스트립하는 경우, 심각한 금속 불순물 문제가 발생되었다. 전술한 바와 함께, 프로세스 엔지니어는, "더티(dirty)" 에칭 공정이 수행된 동일한 챔버에서의 레지스트 스트리핑을 우려하였기 때문에, 원 위치에서의 레지스트 스트리핑 방법을 어려워하였다. 실리콘 에칭 단계와같은 더티 프로세스는, 레지스트와 혼합되고 레지스트 스트리핑의 수행을 어렵게 하거나 입자 제어 문제를 유발할 수도 있는 부산물 (SiO) 을 생성한다. 이러한 또는 다른 이유로 인해, 포토레지스트는 다른 위치의 특별한 챔버에서 통상의 STI 방법에 의해 스트리핑되어 왔다. 예를 들면, 통상의 하드 마스크 방법에서는, 기판을 실리콘 에칭 챔버로 투입하기 전에, 다른 챔버에서 포토레지스트를 스트립하는 반면, 통상의 원위치에서의 포토레지스트 방법에서는, 실리콘 에칭 단계 완료 후, 다른 챔버에서 포토레지스트를 스트립한다.
그러나, 통상의 기술에 반하여, 본 발명자들은, 예상치 못하게, 실리콘 에칭이 수행된 동일한 챔버에서 포토레지스트층을 스트립하는 프로세스 (200) 가 가능함을 발견하였다. 즉, 실리콘 에칭 단계를 수행하기 전에, 원 위치에서 레지스트를 스트립한다. 프로세스 (200) 에서, 하부에 위치된 질화물층이 후에 STI 프로세스에서 제거되기 때문에, 손상 문제가 적게 우려된다. 또한, 포토레지스트의 순도가 최근에 현저하게 증가되었기 때문에, 오염 문제가 적게 우려된다. 또한, 마지막으로, 포토레지스트와 혼합되는 부산물에 대한 우려는 이하의 설명에서와 같이 다루어진다.
본 발명의 일 실시 형태에 따르면, 실리콘 에칭 챔버는, 로딩 시마다 건식 에칭 단계를 수행하는 것과 같이, 세척 단계를 주기적으로 수행함으로써, 실질적으로 부산물 또는 폴리머 (SiO2) 없이 유지된다. 또한, 상대적인 세척 실리콘 에칭 단계는 챔버 벽상의 폴리머 축적을 감소시키기 위해 프로세스 (200) 에 포함될수 있다. 이와 같은 단계의 실시예는 프로세스 가스로서 SF6과 같은 에천트 가스를 이용한다. 후에 보다 상세하게 설명되는 바와 같이, 전술한 측정과 다른 또는 이에 추가하여, 소프트 세정 단계를 프로세스 (300) 에 포함시켜, 챔버 벽 상의 폴리머 축적을 감소시키고 프로세스 (200) 시 연장되는 프로세스 가동을 촉진시킬 수 있다. 여기서 이용되는 용어 "실질적으로 폴리머가 없는 (sustatially ferr of polymers)" 은 챔버 벽 상에 축적된 폴리머의 양이 프로세스 (200) 신뢰도에 영향을 미칠만큼이 아닌 상태를 나타낸다. 당업자는 이용할 챔버에 따라 이러한 조건을 변화시켜 프로세스를 수행할 수 있다.
단계 226으로 되돌아 가면, 본 발명의 일 실시 형태에 따른, 포토레지스트 스트립 단계에 이용되는 프로세스 레시피를 아래의 표 3에 나타낸다. 표 3에서, Wb는 바이어스 전원 (106) 에 의해 인가된 바이어스 전력을, Ws는 소스 전원 (105) 에 의해 제공되는 소스 전력을, 그리고, 압력은 에칭 프로세스 동안 챔버 내의 압력을 나타낸다. 스트리핑 단계는, 하부에 위치된 질화물층 (53) 에 도달하는 시간과 오버 에칭 목적을 위한 40%의 시간을 더한 소정의 시간동안 수행된다. 예를 들면, 하부에 위치된 층이 종점 검출 시스템에 의해 10초 내에 검출되면, 프로세스는 그 후의 오버 에칭 목적을 위해 다른 4초 동안 수행된다.
아래의 표 3의 프로세스 레시피는 프로세스 레시피의 실시예이다. 포토레지스트를 스트립하기 위해 많은 다른 프로세스 레시피를 이용한다. 일 실시형태에서, 챔버 압력은 약 2mT 내지 약 100mT사이이고, 바이어스 전력은 0Watt 내지 약 100Watts이며, 소스 전력은 약 200Watts 내지 2000Watts이며, 산소 유량은 약 5sccm 내지 약 500sccm이 될 것이다. 다른 실시 형태에서는, 산소와 함께, 헬륨, 질화물, 또는 아르곤과 같은 비활성 가스와 그 조합물을 챔버에 투입시킬 것이다.
[표 3]
포토레지스트가 제거된 후, 도 2d 에 도시된 바와 같이, 주지의 이방성 에칭 기술을 사용하여 트렌치가 인-시츄 에칭된다(단계 208). 이방성 에칭 기술은 이온들이 기판을 향하여 수직으로 지향하도록 바이어스 전력을 인가하고 산소와 같은 폴리머 형성 가스를 챔버 (101) 내부로 유동시킴으로써 트렌치가 에칭될 때 상기 트렌치의 벽에 패시베이션층 (56) 을 형성하는 것이다. 일반적으로 이온들을 기판을 향하여 수직으로 가속시키기 위해 플라즈마는 약 300 와트 (0.96 와트/cm2) 로 바이어스된다. 패시베이션층은 일반적으로 트렌치의 바닥 코너 (58) 에서 테이퍼된다, 즉 패시베이션층의 상부 측벽에서의 두께가 하부 측벽에서의 두께보다 더 두껍다.
Cl2와 O2로 이루어지는 에천트 가스를 사용하여 깊이가 약 3000 Å 인 트렌치를 에칭하는 트렌치 에칭 프로세스를 구현하는 일 프로세스 레시피가 아래의 표 4 에 제공되어 있다.
[표 4]
단계 208 의 이방성 에칭 프로세스는 일반적으로 더티 프로세스로 불리우는데, 그 이유는 중합화와 이온 폭격(bambardment)이 챔버 (101) 의 내부면에 부착되는 부산물을 생성하기 때문이다. 이들 부산물을 전기적으로 제거히지 않으면, 이들 부산물이 챔버내에 축적되어 챔버 상태를 변경시킨다. 또한, 이온 폭격에 의해 기판의 외부면에서 결정 구조가 손상되어, 20 내지 100 Å 두께의 손상층 (도 2d 에 도시되지 않음) 이 생성되고, 이것이 다른 것들 중에서 기판의 전기적인 특성을 변경시킨다.
본 발명자들은, 연장된 프로세스 가동(run)에 대해 챔버를 깨끗하게 유지하는 일 방법은 소프트 세정 단계(단계 210)을 프로세스 (200) 에 포함시키는 것이라는 것을 발견하였다. 소프트 세정 단계은, 메인 트렌치 프로파일, 즉 트렌치의 폭(개구), 깊이 및 경사를 현저하게 변경하지 않고, 챔버의 내부면에 부착되는 부산물을 제거한다. 종래의 반도체 제조 프로세스의 패러다임 하에서, 기술자는기판을 손상시키고 에칭 프로파일을 변경시킬 염려 때문에 처리중인 기판을 챔버로부터 제거한 후에만 챔버에 대한 세정 프로세스를 행하였다. 본 발명자들은, 그러나, 소프트 세정 단계을 실리콘 에칭 프로세스에 통합하는 것이, 즉 기판을 챔버내에 놓은 채로 소프트 세정 단계을 행하는 것이 많은 이점을 제공한다는 사실을 우연히 발견하였다. 예를 들어, 본 발명자들에 의해 발견된 통합 에칭 프로세스에 의하면, 종래의 방법하에서와 비해, 보다 많은 개수의 기판(어떤 경우에는 10, 000 개 이상)을 습식 세정 단계들 사이에서 처리할 수 있다. 게다가, 몇몇 예에서는, 소프트 세정 단계에 의해 건식 세정 단계의 요구조차 완화시킬 수도 있었다.
소프트 세정 단계은 기본적으로 화학적인 처리이다, 즉 챔버(101)에 바이어스 전력을 인가하지 않거나 또는 최소 바이어스 전력 (예를 들어, 20 와트, 즉 0.06 와트/cm2이하) 을 인가하여 부산물을 생성하는 추가적인 물리적인 에칭을 제거하거나 또는 적어도 최소화하는 것이다. 메인 트렌치 프로파일은 소프트 세정 단계에 의해 현저하게 변경되지 않는데, 그 이유는 패시베이션층(56)이 트렌치의 상부를 보호하기 때문이다. 그러나, 소프트 세정 단계은 도 2e 에 도시된 바와 같이, 트렌치의 바닥 코너를 둥글게 하는 데, 그 이유는 페시베이션층이 이들 코너에서 가늘어지기(taper) 때문이다. 트렌치의 바닥 코너를 둥글게 하는 것은 스텝 커버리지를 향상시켜 디바이스 성능을 향상시킨다. 소프트 세정 단계은 또한 부산물을 제거할 때 생기는 기판의 표면에서의 손상층도 제거하고, 바닥 코너를 둥글게 한다.
소프트 세정 단계의 화학 물질은 이방성 에칭 단계의 화학 물질과 상이하다. 소프트 세정 단계은 SF6, CF4, C2F6, C3F8, C4F8, NF3및 CHF3와 같은 하나 이상의 할로겐 소스를 갖는 프로세스 가스를 유동시키는 단계를 포함한다. 프로세스 가스는 또한 할로겐 소스들의 해리를 촉진하는 소스 (예를 들어, 산소) 또는 플라즈마 분배 패턴을 변경하는 비활성가스(예를 들어, 이르곤), 또는 양쪽을 포함하는 것이 바람직하다. 산소 등은 할로겐 소스의 해리를 촉진하지만, 부산물도 생성한다. 그러므로, 특정한 프로세스 가스에 대한 산소 등의 최적량이 사용되는 특정 프로세스 가스에 대해 결정되어야 한다. 예를 들어, 프로세스 가스가 할로겐 소스로서 CF4로 본질적으로 이루어지는 하나의 특정한 소프트 세정 단계에 대해, 본 발명자들은 O2에 대한 최적의 가스 유동 범위를 CF4의 가스 유동 속도의 약 10 % 내지 약 40%, 보다 바람직하게는 O2에 대한 가스 유동 속도를 CF4의 가스 유동 속도의 약 20% 로 결정하였다. 아르곤 같은 비활성 가스가 프로세스 가스에 포함되어 챔버 내의 할로겐 소스를 보다 균일하게 분포시킬 수도 있다. 소프트 세정 단계의 최적 기간은 트렌치 에칭 프로세스에 따라 변한다. 예를 들어, 소프트 세정 단계은 일반적으로 부산물을 생성하는 에칭 프로세스에 대한 시간보다 오랜 시간 가동될 것을 요구한다.
아래의 표 5 는 본 발명의 일 실시예에 따른 소프트 세정 단계에 대한 챔버 압력, 바이어스 전력, 소스 전력 등에 대한 허용가능한 범위를 제공한다.
[표 5]
일 실험에서, Applied Materials 에 의해 제조되어 8인치 웨이퍼용으로 공급된 DPS+ 챔버에서 프로세스 (200) 이 실행되어 마이크로-로딩 효과와 에칭 속도 균일도가 조사되었다. 목표 트렌치 깊이 및 프로파일은 각각 4000 Å 및 85°이었다. 프로세스 (200) 을 사용하여 약 400 개의 웨이퍼가 처리되었다. 도 4a 내지 도 4d 는 통상적인 트렌치 프로파일을 갖는 웨이퍼의 SEM 사진의 스케치를 도시한다. 도 4a 및 도 4b 는 기판의 중심에서 각각 조밀 영역과 소자분리 영역에서의 트렌치 단면의 SEM 사진의 스케치를 도시한다. 조밀 영역에서의 트렌치 깊이 및 프로파일은 각각 4160 Å 및 85°이었고, 소자분리 영역에서의 트렌치 깊이 및 프로파일은 각각 4110 Å 및 83°이었다. 에칭 속도 마이크로 로딩 효과 및 프로파일 마이크로 로딩 효과는 각각 50 Å 및 2°편차(variance)를 나타내었다. 한편, 도 4c 및 도 4d 는 기판의 에지에서 각각 조밀 영역과 소자분리 영역에서의 트렌치 단면의 SEM 사진의 스케치를 도시한다. 조밀 영역에서의 트렌치 깊이 및 프로파일은 각각 4050 Å 및 86°이었고, 소자분리 영역에서의 트렌치 깊이 및 프로파일은 각각 4010 Å 및 84°이었다. 기판의 에지에서의 에칭 속도 마이크로 로딩 효과 및 프로파일 마이크로 로딩 효과는 각각 40 Å 및 2°편차를 나타내었다. 대비하여, 종래의 인-시츄 포토레지스트 접근법은 8-10°의 프로파일 마이크로 로딩 편차를 나타내고, 약 300 Å 이상의 에칭 속도 마이크로 로딩 편차를 나타내었다.
여기에 개시된 가스 유동 속도 및 RF 전력 레벨은 8인치 웨이퍼용으로 공급되는 Applied Materials 에 의해 제조되는 DPS+ 챔버에서의 에칭 프로세스 가동에 기초한 것이다. 당업계의 동업자는 이들 값이 부분적으로 챔버에 특정되며, 다른 설계 및/또는 체적의 챔버가 채용된다면 변화될 수 있음을 인식할 수 있을 것이다.
상술된 것은 본 발명의 확실한 바람직한 실시예로 지향되어 있지만, 다른 그리고 추가적인 본 발명의 실시예들이 본 발명의 기본적인 범위로부터 일탈하지 않고 도출될 수 있다. 예를 들어, 그러한 일 대체예는 트렌치 에칭 단계에 앞서 소프트 세정 단계을 채용할 수도 있다. 비록 본 발명의 특정한 실시예가 얕은 트렌치 분리 구조물의 형성과 관련하여 상술되었지만, 본 발명은 다른 실리콘 에칭 프로세스에도 사용될 수 있다. 다른 대체예 및 등가물과 함께 이들 대체예는 본 발명의 범위내에 포함되도록 의도되어 있다.

Claims (15)

  1. 소스 전력계와 바이어스 전력계를 갖는 종류의 기판 프로세스 챔버에 배치된 실리콘 기판을 처리하는 방법으로서,
    상기 기판 프로세스 챔버 내부에, 그 위에 하드 마스크가 형성되고 상기 하드 마스크상의 포토레지스트가 패터닝되어 상기 하드 마스크의 일부분이 노출된 기판을 제공하는 단계;
    상기 하드 마스크 아래의 상기 실리콘 기판의 일부분을 노출시키기 위해 상기 하드 마스크의 상기 노출된 일부분을 에칭하는 단계;
    그 후, 상기 하드 마스크로부터 상기 포토레지스트를 제거하기 위해 제 1 프로세스 가스로부터 형성된 제 1 플라즈마에 상기 패터닝된 포토레지스트를 노출시키는 단계;
    그 후, 상기 소스 전력계로부터의 RF 에너지를 인가함으로써 제 2 프로세스 가스로부터 형성된 제 2 플라즈마에 상기 기판을 노출시키고 상기 제 2 플라즈마를 상기 기판을 향해 바이어스함으로써 상기 노출된 실리콘 기판상의 트렌치들을 에칭하는 단계; 및
    상기 기판을 상기 기판 프로세스 챔버 외부로 이송하는 단계를 구비하는 것을 특징으로 하는 실리콘 기판의 처리방법.
  2. 제 1 항에 있어서,
    상기 트렌치 각각은 특정한 폭, 깊이 및 경사를 가지며,
    상기 기판 프로세스 챔버내의 부산물을 제거하기 위해 바이어스 전력을 인가하거나 또는 최소 바이어스 전력을 인가하지 않고 상기 기판 프로세스 챔버내의 할로겐 소스를 포함하는 제 3 프로세스 가스로부터 형성된 제 3 플라즈마에 상기 실리콘 기판을 노출시키는 단계를 더 구비하며, 상기 트렌치의 폭, 깊이 및 경사는 상기 제 3 프로세스 가스로부터 발화된 상기 플라즈마에 의해 현저하게 변경되지 않는 것을 특징으로 하는 실리콘 기판의 처리방법.
  3. 제 2 항에 있어서,
    상기 패터닝된 포토레지스트가 제거되기 전에, 상기 실리콘 기판을 플라즈마에 노출시키는 단계가 행해지는 것을 특징으로 하는 실리콘 기판의 처리방법.
  4. 제 2 항에 있어서,
    상기 실리콘 기판이 에칭된 후에, 상기 실리콘 기판을 플라즈마에 노출시키는 단계가 행해지는 것을 특징으로 하는 실리콘 기판의 처리방법.
  5. 제 1 항에 있어서,
    상기 패터닝된 포토레지스트를 플라즈마에 노출시키는 단계는,
    상기 포토레지스트를 상기 제 1 플라즈마에 노출시키는 동안 상기 기판 프로세스 챔버를 저압으로 유지하는 단계;
    상기 기판 프로세스 챔버 내부에 산소 가스를 500 sccm 이하로 유동시키는 단계; 및
    바이어스 전력을 상기 기판 프로세스 챔버에 인가하는 단계를 포함하는 것을 특징으로 하는 실리콘 기판의 처리방법.
  6. 제 5 항에 있어서,
    상기 기판 프로세스 챔버는 50 mT 이하로 유지되고, 산소 가스가 상기 기판 프로세스 챔버 내부로 100 sccm 이하로 유동되고, 약 0.16 와트/cm2이하의 바이어스 전력이 인가되는 것을 특징으로 하는 실리콘 기판의 처리방법.
  7. 소스 전력계와 바이어스 전력계를 갖는 종류의 기판 프로세스 챔버에 배치된 실리콘 기판을 처리하는 방법으로서,
    상기 기판 프로세스 챔버 내부에, 그 위에 하드 마스크가 형성되고 상기 하드 마스크상의 포토레지스트가 패터닝되어 상기 하드 마스크의 일부분이 노출된 기판을 제공하는 단계로서, 상기 포토레지스트와 상기 하드 마스크는 각각 제 1 두께와 제 2 두께를 갖고, 상기 포토레지스트의 상기 제 1 두께가 상기 하드 마스크의 상기 제 2 두께의 2배 이하인 상기 단계;
    상기 하드 마스크를 개구시키기 위해 상기 패터닝된 포토레지스트와 상기 하드 마스크의 일부분을 상기 기판 프로세스 챔버내에 발화된 제 1 플라즈마에 노출시켜 하드 마스크 개구부를 규정하는 단계로서, 상기 패터닝된 포토레지스트의 일부분이 소모되는 상기 단계;
    그 후, 상기 하드 마스크로부터 제 3 두께를 갖는 잔류 포토레지스트를 스트립하기 위해 상기 패터닝된 포토레지스트를 상기 기판 프로세스 챔버내에 발화된 제 2 플라즈마에 노출시키는 단계;
    그 후, 상기 소스 전력계로부터의 RF 에너지를 인가함으로써 상기 기판 프로세스 챔버내에 발화된 제 3 플라즈마에 상기 기판을 노출시키고 상기 제 3 플라즈마를 상기 기판을 향하여 바이어스함으로써, 상기 하드 마스크 개구부 아래의 실리콘 기판의 선택된 일부분 상의 특정 깊이의 트렌치들을 에칭하는 단계; 및
    상기 기판을 상기 기판 프로세스 챔버 외부로 이송하는 단계를 구비하는 것을 특징으로 하는 실리콘 기판의 처리방법.
  8. 제 1 항에 있어서,
    상기 트렌치 각각은 특정한 폭, 깊이 및 경사를 가지며,
    상기 기판 프로세스 챔버내의 부산물을 제거하기 위해 바이어스 전력을 인가하거나 또는 최소 바이어스 전력을 인가하지 않고 상기 기판 프로세스 챔버내의 할로겐 소스를 포함하는 프로세스 가스로부터 상기 기판 프로세스 챔버내에 발화된 제 4 플라즈마에 상기 실리콘 기판을 노출시키는 단계를 더 구비하며, 상기 트렌치의 폭, 깊이 및 경사는 상기 제 3 프로세스 가스로부터 발화된 상기 플라즈마에 의해 현저하게 변경되지 않는 것을 특징으로 하는 실리콘 기판의 처리방법.
  9. 제 7 항에 있어서,
    상기 포토레지스트의 제 1 두께는 약 3000 Å 이하인 것을 특징으로 하는 실리콘 기판의 처리방법.
  10. 제 9 항에 있어서,
    상기 하드 마스크의 제 2 두께는 1500 Å 이하인 것을 특징으로 하는 실리콘 기판의 처리방법.
  11. 제 9 항에 있어서,
    상기 포토레지스트의 제 1 두께는 2000 Å 이하인 것을 특징으로 하는 실리콘 기판의 처리방법.
  12. 제 9 항에 있어서,
    상기 포토레지스트의 제 3 두께는 900 Å 이하인 것을 특징으로 하는 실리콘 기판의 처리방법.
  13. 제 9 항에 있어서,
    상기 트렌치들은 약 3000 Å의 깊이까지 에칭되는 것을 특징으로 하는 실리콘 기판의 처리방법.
  14. 소스 전력계와 바이어스 전력계를 갖는 종류의 기판 프로세스 챔버내에 배치된 실리콘 기판상에 트렌치 분리 구조물을 형성하는 방법으로서,
    그 위에 하드 마스크가 형성되고 상기 하드 마스크상의 포토레지스트가 패터닝되어 있는 상기 기판을 상기 기판 프로세스 챔버 내부로 이송하는 단계;
    상기 하드 마스크 아래의 상기 실리콘 기판의 일부분을 노출시키기 위해 상기 하드 마스크의 선택된 일부분을 에칭하는 단계;
    그 후, 상기 포토레지스트를 상기 기판 프로세스 챔버내에 발화된 플라즈마에 노출시킴으로써 상기 포토레지스트를 제거하는 단계;
    그 후, 상기 소스 전력계로부터의 RF 에너지를 인가함으로써 제 1 에천트 가스로부터 형성된 플라즈마에 상기 기판을 노출시키고 상기 플라즈마를 상기 기판을 향하여 바이어스함으로써 상기 노출된 실리콘 기판을 에칭하는 단계;
    상기 기판 프로세스 챔버내의 부산물을 제거하기 위해 바이어스 전력을 인가하거나 또는 0.16 와트/cm2이하의 바이어스 전력을 인가하지 않고 상기 기판 프로세스 챔버내의 할로겐 소스를 포함하는 제 2 에천트 가스로부터 형성된 플라즈마를 발화시키는 단계; 및
    상기 기판을 상기 기판 프로세스 챔버 외부로 이송하는 단계를 구비하는 것을 특징으로 하는 트렌치 분리 구조물의 형성방법.
  15. 기판 프로세스 챔버;
    상기 기판 프로세스 챔버 내부로 가스를 유동시키는 가스 분배계;
    상기 기판 프로세스 챔버내에 존재하는 가스로부터 상기 기판 프로세스 챔버내에 플라즈마를 형성하는 플라즈마 발생계;
    바이어스 전력을 페데스탈에 인가하는 바이어스 전력 발생계;
    상기 기판 프로세스 챔버 내부로 및 외부로 기판들을 이송하는 기판 이송계;
    상기 가스 분배계, 상기 플라즈마 발생계, 상기 바이어스 전력 발생계 및 상기 기판 이송계를 제어하는 제어기; 및
    상기 제어기에 결합된 메모리를 구비하는 기판 처리 시스템으로서,
    상기 메모리는 상기 기판 처리 시스템의 동작을 관리하는 컴퓨터 판독가능 프로그램이 그 내부에 구현된 컴퓨터 판독가능 매체를 구비하고,
    상기 컴퓨터 판독가능 프로그램은,
    (i) 그 위에 하드 마스크가 형성되고 상기 하드 마스크상의 포토레지스트가 패터닝되어 상기 하드 마스크의 일부분이 노출된 기판을 상기 기판 프로세스 챔버 내부로 이송하도록 상기 기판 이송계를 제어하는 컴퓨터 지시;
    (ii) 상기 하드 마스크 아래의 상기 실리콘 기판을 노출시키기 위해 상기 하드 마스크의 상기 노출된 일부분을 에칭하도록 상기 플라즈마 발생계를 제어하는 컴퓨터 지시;
    (iii) 상기 (ii) 단계 후에 상기 하드 마스크로부터 상기 포토레지스트를 제거하기 위해 제 1 프로세스 가스로부터 형성된 제 1 플라즈마에 상기 패터닝된포토레지스트를 노출시키도록 상기 플라즈마 발생계를 제어하는 컴퓨터 지시;
    (iv) 상기 소스 전력계로부터의 RF 에너지를 인가함으로써 제 2 프로세스 가스로부터 형성된 제 2 플라즈마에 상기 기판을 노출시키고 상기 플라즈마를 상기 기판을 향하여 바이어스함으로써 상기 노출된 실리콘 기판상의 트렌치들을 에칭하도록 상기 플라즈마 발생계 및 상기 바이어스 전력 발생계를 제어하는 컴퓨터 지시; 및
    (v) 상기 기판을 상기 기판 프로세스 챔버 외부로 이송하도록 상기 기판 이송계를 제어하는 컴퓨터 지시를 구비하는 것을 특징으로 하는 기판 처리 시스템.
KR1020037002070A 2000-08-12 2001-08-13 집적된 얕은 트렌치 분리 접근법 KR100852372B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/637,838 2000-08-12
US09/637,838 US6677242B1 (en) 2000-08-12 2000-08-12 Integrated shallow trench isolation approach
PCT/US2001/025391 WO2002015249A2 (en) 2000-08-12 2001-08-13 Integrated shallow trench isolation process

Publications (2)

Publication Number Publication Date
KR20030031152A true KR20030031152A (ko) 2003-04-18
KR100852372B1 KR100852372B1 (ko) 2008-08-18

Family

ID=24557558

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037002070A KR100852372B1 (ko) 2000-08-12 2001-08-13 집적된 얕은 트렌치 분리 접근법

Country Status (7)

Country Link
US (1) US6677242B1 (ko)
EP (1) EP1312108A2 (ko)
JP (1) JP2004507086A (ko)
KR (1) KR100852372B1 (ko)
CN (1) CN1276477C (ko)
TW (1) TW512462B (ko)
WO (1) WO2002015249A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101429432B1 (ko) * 2013-04-10 2014-08-12 주식회사 테스 기판처리방법
KR101468249B1 (ko) * 2007-05-24 2014-12-03 램 리써치 코포레이션 액티브 하드 마스크의 플라즈마 식각 동안 인-시튜 포토레지스트 스트립

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
JP2005310944A (ja) * 2004-04-20 2005-11-04 Hitachi High-Technologies Corp ドライエッチング方法
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
CN100565815C (zh) * 2004-10-08 2009-12-02 西尔弗布鲁克研究有限公司 从蚀刻沟槽中移除聚合物涂层的方法
US7309641B2 (en) * 2004-11-24 2007-12-18 United Microelectronics Corp. Method for rounding bottom corners of trench and shallow trench isolation process
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
JP4745273B2 (ja) * 2006-09-25 2011-08-10 株式会社東芝 半導体装置の製造方法及び半導体製造装置
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP2010245101A (ja) 2009-04-01 2010-10-28 Hitachi High-Technologies Corp ドライエッチング方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN103435002A (zh) * 2013-08-05 2013-12-11 中航(重庆)微电子有限公司 Mems牺牲层刻蚀方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10396000B2 (en) 2015-07-01 2019-08-27 International Business Machines Corporation Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105699429A (zh) * 2016-01-08 2016-06-22 平高集团有限公司 一种微米级半导体传感器及其制备方法
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107205306A (zh) * 2017-05-26 2017-09-26 中国工程物理研究院流体物理研究所 基于数学对比法的光纤激光干涉电子密度测量系统及方法
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
CN110727390B (zh) * 2018-07-16 2023-07-07 深圳大心电子科技有限公司 存储器管理方法以及存储控制器
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114350B2 (en) 2019-04-12 2021-09-07 Applied Materials, Inc. Method for removing photoresist from photomask substrate
EP3956258A1 (en) * 2019-04-15 2022-02-23 Facebook Technologies, LLC. Substrate modification by femto-second laser to achieve variable etch depth in dry etching

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6417430A (en) * 1987-07-10 1989-01-20 Fujitsu Ltd Etching method
JPH0223615A (ja) 1988-07-12 1990-01-25 Sharp Corp 半導体装置の製造方法
JPH03119724A (ja) * 1989-09-30 1991-05-22 Toppan Printing Co Ltd レジストアッシング方法
JPH04251926A (ja) * 1991-01-10 1992-09-08 Fujitsu Ltd 半導体装置の製造方法
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH10256235A (ja) * 1997-03-13 1998-09-25 Toshiba Corp プラズマ処理装置およびアッシング方法
US6127278A (en) 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6171970B1 (en) * 1998-01-27 2001-01-09 Texas Instruments Incorporated Method for forming high-density integrated circuit capacitors
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US6069086A (en) 1998-04-30 2000-05-30 Applied Materials, Inc. Non-HBr shallow trench isolation etch process
US6380096B2 (en) 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP4039504B2 (ja) 1998-11-10 2008-01-30 シャープ株式会社 半導体装置の製造方法
KR20000044928A (ko) * 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6221772B1 (en) * 1999-07-14 2001-04-24 United Microelectronics Corp. Method of cleaning the polymer from within holes on a semiconductor wafer
US6180533B1 (en) 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
US6342428B1 (en) * 1999-10-04 2002-01-29 Philips Electronics North America Corp. Method for a consistent shallow trench etch profile
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101468249B1 (ko) * 2007-05-24 2014-12-03 램 리써치 코포레이션 액티브 하드 마스크의 플라즈마 식각 동안 인-시튜 포토레지스트 스트립
KR101429432B1 (ko) * 2013-04-10 2014-08-12 주식회사 테스 기판처리방법

Also Published As

Publication number Publication date
CN1276477C (zh) 2006-09-20
EP1312108A2 (en) 2003-05-21
KR100852372B1 (ko) 2008-08-18
JP2004507086A (ja) 2004-03-04
WO2002015249A3 (en) 2002-09-12
WO2002015249A2 (en) 2002-02-21
CN1455950A (zh) 2003-11-12
US6677242B1 (en) 2004-01-13
TW512462B (en) 2002-12-01

Similar Documents

Publication Publication Date Title
KR100852372B1 (ko) 집적된 얕은 트렌치 분리 접근법
US6599842B2 (en) Method for rounding corners and removing damaged outer surfaces of a trench
US6391788B1 (en) Two etchant etch method
KR100848522B1 (ko) 실리콘 에칭 및 챔버 세정 프로세스의 일체화
US6461974B1 (en) High temperature tungsten etching process
KR100465947B1 (ko) 불화 가스 및 산소를 함유한 가스 혼합물을 사용하는텅스텐의 플라즈마 공정
US7432172B2 (en) Plasma etching method
US7361607B2 (en) Method for multi-layer resist plasma etch
IL180025A (en) Method of engraving in plasma on two-layer material
TW477007B (en) Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
KR20010073107A (ko) 기판의 실리콘층에 직통으로 접촉홀을 형성하기 위한 방법
KR20090087423A (ko) 플라즈마 에칭 방법 및 컴퓨터 기억 매체
US6651678B2 (en) Method of manufacturing semiconductor device
US8034721B2 (en) Manufacturing method of semiconductor device
US10283368B2 (en) Plasma etching method and plasma etching apparatus
JP3323190B2 (ja) ドライエッチング方法、半導体装置の製造方法及びドライエッチング装置
WO2004012232A2 (en) Forming bilayer resist patterns
US20050106868A1 (en) Etching method
KR980012064A (ko) 단결성 실리콘 에칭 방법
KR20010005085A (ko) 반도체 소자 제조방법
JP2002367958A (ja) ドライエッチング方法及びこのドライエッチング方法を用いた半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110728

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee