JP2004507086A - 基板の処理方法及び処理システム - Google Patents
基板の処理方法及び処理システム Download PDFInfo
- Publication number
- JP2004507086A JP2004507086A JP2002520285A JP2002520285A JP2004507086A JP 2004507086 A JP2004507086 A JP 2004507086A JP 2002520285 A JP2002520285 A JP 2002520285A JP 2002520285 A JP2002520285 A JP 2002520285A JP 2004507086 A JP2004507086 A JP 2004507086A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- hard mask
- processing chamber
- plasma
- photoresist
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 145
- 238000012545 processing Methods 0.000 title claims abstract description 82
- 238000003672 processing method Methods 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 164
- 230000008569 process Effects 0.000 claims abstract description 119
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 88
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 51
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 51
- 239000010703 silicon Substances 0.000 claims abstract description 51
- 238000005530 etching Methods 0.000 claims abstract description 45
- 239000007789 gas Substances 0.000 claims description 45
- 239000006227 byproduct Substances 0.000 claims description 15
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 11
- 238000012546 transfer Methods 0.000 claims description 9
- 229910052736 halogen Inorganic materials 0.000 claims description 6
- 150000002367 halogens Chemical class 0.000 claims description 6
- 238000002955 isolation Methods 0.000 claims description 5
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 3
- 229910001882 dioxygen Inorganic materials 0.000 claims description 3
- 238000009826 distribution Methods 0.000 claims description 3
- 238000005096 rolling process Methods 0.000 claims 1
- 239000010410 layer Substances 0.000 description 57
- 238000004140 cleaning Methods 0.000 description 21
- 150000004767 nitrides Chemical class 0.000 description 20
- 235000012431 wafers Nutrition 0.000 description 20
- 238000013459 approach Methods 0.000 description 19
- 238000011065 in-situ storage Methods 0.000 description 17
- 239000004065 semiconductor Substances 0.000 description 13
- 150000002500 ions Chemical class 0.000 description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- 238000003860 storage Methods 0.000 description 8
- 238000011066 ex-situ storage Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 238000002161 passivation Methods 0.000 description 5
- 239000002245 particle Substances 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000007796 conventional method Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000005108 dry cleaning Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- -1 for example Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Analytical Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Element Separation (AREA)
Abstract
Description
(発明の属する技術分野)
本発明は、シリコンをエッチンする方法に関する。特に、本発明は、同じチャンバ内でホトレジストの除去及びシリコンのエッチングステップを行なう一体化したシリコンエッチングプロセスに関する。
【0002】
(発明の背景)
今日の半導体チップは、一般に数ミクロンの大きさのトランジスタを含む。短絡回路が隣接するトランジスタ間に生じないように、絶縁構造がトランジスタ間に設けられる。1つの共通に使用される絶縁構造は、浅いトレンチ分離(shallow trench isolation: STI)構造である。このSTI構造は、一般にエクスサイチュ(ex−situ)ハードマスクSTIまたはインサイチュ(in−situ)ホトレジストSTI手法を用いて行われていた。
【0003】
ex−situハードマスク手法は、一般にシリコン基板上に連続してパッド酸化物層と窒化シリコン層を形成するステップを含む。ホトレジスト層が窒化物層上に形成され、その後パターン化される。基板は第1のチャンバに移送されて、下層の窒化物と酸化物層の露出した部分を除去する。その後、基板は第2のチャンバに移送されてホトレジストを除去する。その後、基板は第3のチャンバに移送され、シリコン基板の露出した部分をエッチングし、誘電体材料、例えばSiO2で続いて充填されるトレンチを形成し、浅いトレンチ分離構造を生成する。第1、第2及び第3のチャンバの各々は、その特定のエッチング動作を行うように最適化されている。この手法の1つの問題点は、それがウエハ当たりのコストを増加させる少なくとも3つの異なるエッチングチャンバを必要とすることである。さらに、これら3つのチャンバへ、及びチャンバから基板を移送することはスループットを減少し、粒子及び/または損傷の制御問題を生じる。
【0004】
これらの欠点を念頭において、ex−situハードマスク手法より1つの小さなチャンパを用いるin−situホトレジスト手法が最近広範に使用されている。このプロセスは、一般にシリコン基板上に連続してパッド酸化物層と窒化シリコン層を形成するステップを含む。ホトレジスト層が窒化物層上に形成され、その後パターン化される。基板は、シリコンエッチングチャンバへ移送される。下層の窒化物と酸化物層の露出した部分がエッチングされて、シリコン基板の選択された部分を露出する。その後、同じチャンバ内で、基板の選択された部分がエッチングされてトレンチを形成する。その後、基板はホトレジストのストリップチャンバ、例えば、同じチャンバヘ移送されて、パターン化されたホトレジストをストリップする。
【0005】
in−situホトレジスト手法は、ex−situハードマスク手法よりスループットを向上し、粒子/損傷の制御問題の管理を良くするけれども、前者の手法は幾つかのそれ自体の問題を生じる。1つの問題は、シリコンエッチングステップからの副産物、例えばSiO2が残りのホトレジストと混ざるので、ホトレジストが、もし、シリコンエッチングステップ後に除去されるなら、ストリップするのが困難になることである。他の問題は、in−situ方法は、ex−situハードマスクステップ中に増加したプロファイルのマイクロローディング効果を生じることである。前者は、一般に、85度のテーパ角を有するように向けられタトレンチに対して8〜10度またはそれ以上の違いを有する。比較すると、後者は、一般に向けられた構造に対して3〜5℃の違いを生じる。プロファイルのマイクロローディング効果は、dense領域におけるトレンチテーパ角とiso領域におけるそれらの相違を言う。さらに、in−situホトレジスト手法は、ex−situハードマスク手法に関して増加したエッチング速度のマイクロローディング効果を生じることである。前者は、一般に、約3500Åの深さまでエッチングされるトレンチに対して、300Åまたはそれ以上のエッチング速度の深さの違いを生じる。比較すると、後者は、実質的に同じ構造に対して約200Åまたはそれ以下のエッチング速度の深さの違いを有する。エッチング速度のマイクロローディング効果は、dense領域とiso領域におけるエッチング速度の深さの相違を言う。
【0006】
したがって、in−situホトレジストステップのSTI手法に関連した欠点がなく、スループットを増大し、粒子/欠陥の制御を改善することができるSTI手法を開発することが必要である。
【0007】
(発明の概要)
本発明は、シリコンをエッチングするための改良された方法を提供する。本発明の実施の形態は、同じチャンバ内でホトレジストのストリップ方法及びシリコンのエッチングステップを行なうことを教示する。本発明は、シリコン基板の処理のスループットを増加する。
【0008】
本発明の1つの実施の形態によると、基板の処理チャンバに配置されたシリコン基板を処理する方法は、基板を基板の処理チャンバヘ移送するステップを含む。基板は、その上に形成されたハードマスク及びハードマスクの上にあるパターン化されたホトレジストを有し、ハードマスクの一部を露出する。チャンバは、ソース電力装置及びバイアス電力装置を有する形式である。本方法は、更に、ハードマスクの露出した部分をエッチングし、ハードマスクの下にあるシリコン基板の一部を露出するステップを有する。その後、パターン化されたホトレジストは第1のプロセスガスから生成される第1のプラズマに曝されて、ハードマスクからホトレジストを除去する。その後、露出したシリコン基板は、ソース電力装置からのRFエネルギーを与え、基板に向かうプラズマをバイアスすることによって第2のプロセスガスから生成される第2のプラズマに基板を曝すことによってエッチングされる。基板は、基板処理チャンバから外へ移送される。
【0009】
本発明のこれらの及び他の実施の形態ばかりでなく、その利点や特徴は、以下のテキスト及び添付図面と共により詳細に説明される。
【0010】
(発明の実施の形態)
I.代表的チャンバ
図1Aは、本発明の方法が実施される代表的半導体ウエハの処理システム100の概略図を示す。このシステム100は、処理チャンバ101、ソース電源105、バイアス電源106及びコントローラ140を有する。処理チャンバ101は、ドーム103、円筒形の側壁111、及び底部113によって画定されたプロセス空間104を有する。ドーム103は、一般に誘電体材料、例えばセラミックまたはクォーツから作られる。側壁111と底部113は、一般に金属、例えばアルミニウムまたはステンレススチールから作られる。ソース電源105は、RF信号(例えば、12.56MHz)をアンテナ102に結合する。アンテナ102は、上部103近くに配置された複数の巻線を有し、空間(ボリューム)104に配置されたプロセスガスを励起するRF電磁界を発生して、プラズマを生成及び/または維持する。
【0011】
点線で示されたロボットアーム112は、ウエハ110を、スリットバルブ114を介して処理チャンバの中へ、及び処理チャンバから外ヘ移送する。基板の処理中に、形成された集積回路構造を部分的に含む半導体ウエハがペデスタル(静電チャック)107上に支持される。ウエハ110は、処理を容易にするためにプラズマに曝される。プラズマ130からのイオン及び電子がウエハ110を攻撃する。電子はイオンより多く移動するので、イオンより多くの電子がウエハを叩く。結果的に、ウエハ110は負にバイアスされる。負のバイアスは、プラズマからの正の電子をウエハに向けて加速する。ペデスタル、従ってウエハは、バイアス電源106によってペデスタルに供給されたRF信号(400MHzまたは13.56MHz)によってバイアスされる。プラズマ130におけるイオン密度は、アンテナ102に供給された信号によって主に制御され、一方、イオンのエネルギーは、ペデスタル107に供給された信号によって主に制御される。
【0012】
チャンバ101内の温度は、チャンバの側壁111及びドーム103内の個別の熱交換通路(図示せず)を通る流体を循環することによって部分的に制御される。エッチングされる基板の温度は、ペデスタル107内の通路(図示せず)によって基板の裏面に加えられるガスのコンビネーションによって、及びペデスタル内の熱交換通路(図示せず)を通る流体を循環することによって制御される。
【0013】
プロセスモニター108が処理チャンバ101内の状態を監視する。プロセスモニターは、チャンバ101内に生じるプロセスに依存する条件を測定するためのあらゆるセンサー、またはセンサーの組合わせである。一例として、プロセスモニター108は分光光度計(optical emission spectrometer: OES)である。このOESはプラズマ130からの放射線の放出を監視する。この放射線は、処理チャンバ101に生じるプロセスの進展に依存する。代わりに、プロセスモニター108は、ウエハ110の表面にエッチングされたトレンチの深さのような高さを測定するための干渉計を有することができる。この干渉計は、トレンチの上部及び底部から反射された光の干渉によってトレンチの深さを測定する。もし、プロセスモニター108がOESまたは干渉計であれば、チャンバ101内からの放射は、サファイアまたはクォーツの上部103のような透明な開口を通してプロセスモニターと結合される。代わりに、この目的のために、分離した窓を上部103または側壁111に設けることができる。
【0014】
プロセスモニター108及びシステム100のいろいろな要素はコントローラ140に結合される。コントロラー140は、処理チャンバ101に生じるプロセスを開始し、監視し、調整し、及び停止するために必要な信号を提供するハードウエアを有する。処理チャンバ101は、例えば、Santa Clara, CaliforniaにあるApplied Materials社によって製造された減結合プラズマソース(decoupled plasma source: DPS)エッチングチャンバである。チャンバ101は、半導体ウエハを処理するために必要な要素を有する。
【0015】
チャンバ101の外部は、一般に周囲の大気圧にあり、チャンバ101の内部は処理中減少された圧力に保たれている。排気装置109はチャンバ101の内の圧力を調整する。ガスパネル120は、ガスライン122とバルブ124を介してチャンバ101へプロセスガスを送出する。プロセス、例えばエッチングプロセスにおいて、プラズマ130は、RF電力をプロセスガスヘ与えることによってチャンバ内に生成される。RF電源105は、チャンバ内にプラズマを点弧し、維持するために、アンテナを励振する。この分野の当業者は、複数のステップがチャンバ101内にプラズマを励起する、即ち、プロセスガスを供給する、ソース電力をアンテナヘ与える、バイアス電力をペデスタルに与えるなどのために必要であることを認識するであろう。これらのステップは、さらに説明するまでもなくこの分野の当業者が行うことができるだであろう。
【0016】
本発明の方法は、プロセッサベースのシステムコントローラ、例えば図1Aのコントローラ140によって、制御されるシステムにおいて実施することができる。図1Bは、このような能力用いられるシステムコントローラ140を有する図1Aに示されるような処理システム100のブロック図を示す。システムコントローラユニット140は、コンピュータ読取可能なメモリ162、大容量記憶装置164、入力制御装置166及び表示装置168と共に動作可能なプログラム可能な中央処理装置(CPU)160を有する。システムコントローラは、さらに良く知られた支援回路172、例えば電源174、クロック176、キャッシュ178、入力/出力(I/O)回路等を有する。また、コントローラ140は、チャンバ101内のセンサ181を介してウエハの処理を監視するためのハードウエアを有する。これらのセンサはシステムパラメータ、例えばウエハの温度、チャンバ雰囲気の圧力などを測定する。これらの全ての要素はシステムバス170に接続される。
【0017】
メモリ162は、CPU160が処理システム100の動作を制御するために実行する命令を含む。メモリ162における命令は、プログラムコード形式、例えば本発明の方法を実施するプログラム190である。プログラムコードは多くのいろいろなプログラミング言語のいずれか1つに相当する。例えば、プログラムコードは、C、C++、ベーシック、パスカル、または多くの他の言語で書くことができる。コンピュータプログラム190は多くのいろいろなサブルーチンを有することができる。例えば、特定の実施の形態において、プログラム190は、プログラム選択サブルーチン、プロセスシーケンササブルーチン、及びマルチチャンバシステムの各チャンバに対するチャンバ管理サブルーチンを含む。また、プログラム190は、とりわけ、例えば基板の位置決めサブルーチン、プロセスガス制御サブルーチン、圧力制御サブルーチン、温度制御サブルーチン、及びプラズマ制御サブルーチンを含む各チャンバ101の個々の要素を制御するサブルーチンを含む。この分野の当業者は、どんなプロセスが処理チャンバ101で行われるべきかに依存して、他のチャンバ制御のサブルーチンが含まれてもよいことを容易に理解するであろう。
【0018】
プロセス選択サブルーチンは、(i)所望のプロセス(もし、チャンバ101がマルチチャンバ処理装置の一部であるなら)及び(ii)所望のプロセスを行うための処理チャンバを動作するのに必要な所望のプロセスパラメータのセットを識別する。特定のプロセスを行うためのプロセスパラメータは、プロセス条件、例えば、プロセスガスの構成及び流速、温度、圧力、RF電力レベルや低周波RF周波数のようなプラズマ条件、冷却ガスの圧力、及びチャンバ壁の温度に関する。これらのパラメータは、レシピの形式でユーザに提供され、入力制御インタフェース166を用いて入力することができる。
【0019】
プロセスシーケンサのサブルーチンは、識別された処理チャンバ及びプロセスセレクタサブルーチンからのプロセスパラメータのセットを受けるため、及びマルチチャンバにおけるいろいろな処理チャンバの動作を制御するためのプログラムコードを含む。多数のユーザがプロセスセットの数及び処理チャンバの数を入力することができるか、または一人のユーザが多数のプロセスセットの数及び処理チャンバの数を入力することができ、シーケンササブルーチンは、所望のシーケンスにおける選択されたプロセスをスケジューリングするために動作する。好ましくは、シーケンササブルーチンはプログラムコードを含み、(i)チャンバが用いられているかどうかを判断するために処理チャンバの動作を監視する、(ii)使用されているチャンバでどんなプロセスが行われているいるかを判断する、及び(iii)処理チャンバ及び実施されるべきプロセスの形式の有効性に基づいて所望のプロセスを実行する。処理チャンバを監視する従来の方法は、例えばポーリングを用いることができる。どのプロセスが実行されるべきかをスケジューリングするとき、シーケンササブルーチンは、選択されたプロセスのための所望のプロセス条件、または各特定のユーザが入力した要求の“エージ(age)”、またはシステムプログラマーがスケジューリングの優先度を決めるために含む必要の他の全ての関連したファクタと比較して、利用される処理チャンバの現在の状態を考慮することができる。
【0020】
シーケンサブサルーチンがどの処理チャンバとプロセスセットの組合わせが次に実行される予定であるかを決めると、シーケンササブルーチンは、特定のプロセスセットパラメータを、シーケンササブルーチンによって決められたプロセスセットによる特定の処理チャンバにおいてマルチ処理タスクを制御する特定の処理チャンバの管理サブルーチンへ通すことによってプロセスの実行を開始する。動作では、チャンバ管理サブルーチンは、実行されている特定のプロセスセットにしたがって、選択的にスケジューリングするか、またはプロセス要素のサブルーチンを呼び出す。一般に、チャンバ管理サブルーチンはいろいろなチャンバ要素を監視し、実行されるべきプロセスセットに対してどの要素がプロセスパラメータに基づいて動作される必要があるかを判断し、且つ監視及び判断ステップに応答して個々のチャンバ要素サブルーチンの実行を開始する。
【0021】
この分野の当業者は、特定のチャンバ要素のサブルーチンの各々をどのように実行するかを理解するであろう。例えば、基板の位置決めのサブルーチンは、基板をペデスタル107状にロードし、選択的に、ペデスタル及び基板を、基板処理用ののチャンバ101内の所望の高さまで上昇するために用いられるチャンバ要素を制御するためのプログラムコードを含む。
【0022】
大容量記憶装置164はデータと命令を記憶し、プロセッサの読取可能な記憶媒体、例えば磁気ディスクまたは磁気テープからのデータやプログラムコード命令を取出す。例えば、大容量記憶装置164は、ハードディスクドライブ、フレキシブルディスクドライブ、テープドライブ、または光学ディスクドライブであることができる。大容量記憶装置164は、それがCPU160から受取った命令に応答して命令を記憶し、取出す。大容量記憶装置164によって記憶し、取出されたデータ及びプログラムコード命令は、処理装置100を動作するためのプロセッサユニット160によって用いられる。データ及びプログラムコード命令は、先ず媒体から大容量記憶装置164によって取出され、その後CPU160によって用いるためにメモリ162に転送される。
【0023】
入力制御装置166は、データ入力装置、例えばキーボード、マウス、またはライトペンをプロセッサユニット160に接続して、CPU160の制御の下でグラフィックディスプレイ及び英数字の形でチャンバのオペレータに情報を与える。
【0024】
制御システムバス170は、制御システムバス170に接続された全ての装置間でデータの転送及び制御信号を与える。制御システムバス170は、CPU160における装置を直接接続する単一バスとして示されているけれども、制御システムバス170は、バスの集合であってもよい。例えば、表示装置、入力制御装置166及び大容量記憶装置164は入出力周辺バスに接続され、一方、CPU及びメモリ162はローカルプロセッサバスに接続される。ローカルプロセッサバス及び入出力周辺バスは、制御システムバス170を形成するために、一緒に接続される。
【0025】
システムコントローラ140は、システムバス170とI/O回路180を介して、本発明によるエッチングプロセスに用いられる処理システム100の要素に接続される。これらの要素は、複数のバルブ182(例えば、図1Aのバルブ124)、プロセスモニター108、排気装置109、ソース電源105、バイアス電源106、スリットバルブ114、ガスバネル120、ロボットアーム112、チャンバセンサー181、及び任意のミキサーブロック184(図1Aには図示されないが、ガスパネル120またはチャンバ101のいずれかに接続されるてもよい)を含む。
【0026】
システムコントローラ140は、これらの要素がチャンバ101において所望のプロセスを実施するために所望の動作を行わせるいろいろなチャンバ要素に信号を送る。
【0027】
本発明は、ソフトウエアで実施され、汎用コンピュータ上で実行されるようにここで説明されたが、当業者は、本発明がハードウエア、例えば特定用途向けIC(application specific integrated circuit: ASIC)または他のハードウエアを用いて実施されることができることを理解するであろう。このように、本発明は、全体的にまたは部分的に、ソフトウエア、ハードウエアまたは双方で実施されることが理解されるであろう。また、この分野の当業者は、基板処理システム100を制御するために、適当なコンピュータシステムを選択することは日常の技術の問題であることを理解するであろう。
【0028】
II.本発明によるシリコン処理
本発明の方法は、適当な基板処理チャンバ、例えば上述した代表的チャンバにおけるいろいろな用途のため、シリコンをエッチングするために使用することができる。しかし、説明のため、本発明は、浅いトレンチ分離プロセスのためのシリコン基板にトレンチをエッチングするステップに関連して以下に説明される。以下の説明における参照番号は、図1A及び図1Bに示された代表的チャンバの適当な要素を参照して用いられる。
【0029】
図2A−図2E及び図3を参照して、プロセッサ200(図3)は、プロセッサチャンバ101、即ちシリコンエッチングチャンバ(ステップ201)のペデスタル107上に基板50(図2A)を配置するステップを含む。基板は、その上に設けられたパッド酸化物層52、このパッド酸化物層上に設けられたハードマスク層53、例えば窒素化シリコンの層、及びよく知られた技術によってハードマスク層上に設けられたパターン化されたホトレジスト54を有する。このパッド酸化物の層は、一般に、基板上に形成されて、ハードマスク層に対して良好な接着面を与える。1つの実施の形態において、基板は、ハードマスク層53とパッド酸化物層52間に非反射のコーティング層(図示せず)のような誘電体層を含むことができる。
【0030】
図2Bを参照して、基板がチャンバに挿入されると、ハードマスク層53がその下のパッド酸化物層を露出するために開口が開けられる(ステップ202)。一般に、ハードマスク層の選択された部分を除去するために、異方性エッチング技術が用いられる。シリコン基板を露出するために、ステップ202によって露出されたパッド酸化物52の一部が除去される(ステプ204)。本発明による窒化物及び酸化物の開口ステップのために用いられたプロセスレシピは、以下の表1及び表2にそれぞれ与えられる。表1及び表2において、Wbはバイアス電源106によって供給されたバイアス電力を表し、Wsはソース電源105によって供給された電力を表し、そして圧力はエッチングプロセス中にチャンバ内の圧力を表す。窒化物の開口ステップにおいて、エンドポイントの検出はステップの間隔を判断するために用いられる。即ち、このステップは、下層の酸化物層がエンドポイントシステムによって検出されるまで行われる。
【0031】
表1:プロセスのレシピ
【0032】
表2:プロセスのレシピ
【0033】
窒化物の開口ステップに対して上に与えられたプロセスレシピは、窒化物層がホトレジストより1.3倍速く除去される場合、約1.3:1の窒化物とホトレジストの選択度の比を有する。例えば、1500Åの厚さを有する窒化物53に対して、パターン化されたホトレジスト層は、約1154Åの最小厚さを有する必要がある。好ましくは、ホトレジスト層54は、ファセット現象を補償するために500−900Åの更なる厚さを有する。したがって、1つの実施の形態において、ホトレジスト層54は、1500Åの厚さを有する窒化物の層を開口するために、最初に約1650Å〜約2150Åの厚さを有する。窒化物の開口ステップ202の後、ハードマスク上に残っていするホトレジストは、一般に約500〜900Åの厚さを有する。窒化物の層が開口されると、残りのホトレジスト層は、ある場合下層の酸化物層を開口する必要がない。何故ならば、窒化物層は、ホトレジスト層に代えて用いられることができるからである。他の実施の形態において、ホトレジスト層54は、最初に約2300−3500Å、好ましくは3000Åの厚さを有していて、ホトレジスト層を有する下層の窒化物及び酸化物層を開口する。ホトレジスト層の厚さは、ホトレジスト層がシリコン基板上にトレンチをエッチングする前にストリップされるので、本発明の特定の実施の形態の下で最小に保たれる。
【0034】
しかし、従来のin−situホトレジスト手法の下では、ホトレジスト層が窒素化物層上に残され、且つシリコン基板上にトレンチをエッチングするために用いられるので、非常に厚いホトレジスト層が必要とされる。従来のin−situ手法の下では、約3000Åの深さを有するトレンチをエッチングするために、一般には更に2000Åまたはそれ以上のホトレジストが必要である。例えば、それぞれ1500Å及び100Åの窒化物及び酸化物の層を有するシリコン基板上に約3000Åの深さを有するトレンチを形成するために、従来の手法は、5000Åまたはそれ以上の厚さを有するホトレジスト層を使用する。このような従来の手法は、ホトレジストパターンのアスペクト比はデバイス寸法が減少するに従って増加するので、デバイスが0.18μmまたはそれ以下まで進行するに従って実施するのが特に困難である。結局、ホトリソグラフにおける焦点の制限の深さにより、従来のin−situホトレジスト手法はそのプロセス限界に達し、小さなデバイス、例えば0.13μmのデバイスを作るためには最早使用することができない。
【0035】
それと比べると、本発明の特定の実施の形態の下でのin−situハードマスク手法は、上述したように、3000Åまたはそれ以下の厚さを有するホトレジストの使用を可能にする。結果的に、デバイスサイズの減少は、ホトレジスト厚の減少だけオフセットされ、それによりデバイスが小さくなるに従って、ホトレジストパターンのアスペクト比の増大を低下させるか、または防止する。従って、本発明によるin−situハードマスク手法は、0.18μm、0.13μm及び非常に小さい最小のフィーチャサイズを有するデバイスを処理するために容易に用いることができる。
【0036】
図2Cを参照すると、ハードマスク及びパッド酸化物の層を開口した後、残りのホトレジスト層は、酸素ガスから点弧されたプラズマを用いてその場所(in−situ)でストリップされる、即ちシリコンのエッチングチャンバから基板を取出すことなくストリップされる(ステップ206)。これは、従来の考えとは逆である。一般に、ホトレジストは、基板上の構造への損傷を生じると言う心配のため、特定のレジストストリップチャンバ(下流のチャンバ)においてホトレジストを直接プラズマに曝すことより、ホトレジストを反応性ラジカルに殆ど曝すことにより、外の場所(ex−situ)でストリップされる。
【0037】
したがって、一般にホトレジストをプラズマに直接曝すことによってシリコンエッチングチャンバにおけるその場所でホトレジストをストリップすると言う考えはプロセス技術者によって実用的でないと思われていた。ホトレジストを外の場所でストリップするための他の理由は、ホトレジストは最近まで比較的高い金属の不純物レベルを有していたからである。結果的に、もし、ホトレジストがエッチングチャンバ内のその場所でストリップされるなら、重大な金属汚染問題が生じるかもしれない。更に、プロセス技術者は、“汚れた”エッチングプロセスが行われる同じチャンバでホトレジストをストリップすることに対する心配のためにin−situレジストストリップ手法を避けた。汚れたプロセス、例えばシリコンエッチングステップは、ホトレジストと混合する副産物を生じ、またホトレジストのストリップを困難にして、粒子の制御問題を生じる。これら及び他の理由のために、ホトレジストは、従来のSTI手法の下では特定のチャンバ内で外の場所で(ex−situ)ストリップされていた。例えば、従来のハードマスク手法において、ホトレジストは基板をシリコンエッチングチャンバに挿入する前に、他のチャンバにおいてストリップされる。それにより、従来のin−situホトレジストにおいて、ホトレジストは、シリコンエッチングステップ後に他のチャンバにおいてストリップされる。
【0038】
しかし、従来の考えと反対に、本発明者は、シリコンエッチングステップが行われる同じチャンバ内でホトレジストをストリップするプロセス200を実施することが可能であることを発見した。即ち、ホトレジストはシリコンエッチングステップを行なう前にその場所でストリップされる。プロセス200において、損傷の問題は、下層の窒化物層がSTIプロセスにおいて遅れて除去されるので、損傷の問題は殆ど心配ない。ホトレジストの純粋なレベルが最近著しく増加したので、汚染の問題も殆ど心配がない。最後に、ホトレジストと混合する副産物に対する心配も以下に説明されるように解決された。
【0039】
本発明の1つの実施の形態によると、クリーニングステップをしばしば行なうことによって、即ち1つのロード毎にドライクリーニングステップを行うことによって副産物またはポリマー(SiO2)のないシリコンエッチングチャンバが実質的に保たれる。また、比較的きれいなシリコンエッチングステップがプロセス200に取り込まれて、チャンバ壁上に形成されるポリマーを減少する。このようなステップの例はプロセスガスにSF6のようなエッチャントガスを含む。代わりに、または上述の対策に加えて、後で詳細に説明されるように、チャンバ壁上に形成されるポリマーを減少し、プロセス200の下で拡大されたプロセスランを容易にするために、ソフトクリーニングステップがプロセス200に取り込まれる。ここに用いられる用語“実質的にポリマーのない”は、チャンバ壁上に形成されるポリマーの量がプロセス200の信頼性を充分脅かさない状態を言う。この分野の当業者によって理解されるように、この状態は、使用されているチャンバ、行われているプロセス等に非常に依存する。
【0040】
ステップ206に戻ると、本発明の1つの実施の形態によるホトレジストのストリップステップに対して用いられるプロセスレシピが表3に与えられる。表3において、Wbはバイアス電源106によって供給されたバイアス電力を表し、Wsはソース電源105によって供給された電力を表し、そして圧力はエッチングプロセス中のチャンバ内の圧力を表す。このストリップステップは特定の期間行われる。ここで期間は、下層の窒化物層53に達するまでにかかる時間プラスオーバエッチングの目的に対してその時間の40%の組み合わせである。例えば、もし、下にある層がエンドポイント検出システムを用いて10秒で検出されるなら、プロセスは、オーバエッチングプロセスに対してその後さらに4秒間行われる。
【0041】
表3に与えられたプロセスレシピは代表的なプロセスレシピである。ホトレジストをストリップするために、他の多くのレシピを用いることができる。1つの実施の形態において、チャンバ圧力は約2mT〜約100mTの間であり、バイアス電力は0ワット〜約100ワットであり、ソース電力は約200ワットから約2000ワットであり、そして酸素の流速は約5sccm〜約500sccmである。他の実施の形態において、酸素に加えて、不活性ガス、例えばヘリウム、窒化物、またはアルゴン、またはそれらの組合わせをチャンバに流してもよい。
【0042】
表3:プロセスのレシピ
【0043】
ホトレジストがストリップされた後、トレンチが図2Dに示されるようによく知られた異方性エッチング技術を用いてその場所(in−situ)でエッチングされる(ステップ208)。異方性エッチング技術はイオンを垂直に基板に向けるためにバイアス電力を供給するステップ及びトレンチがエッチングされるに従ってトレンチの壁に不動態層56を形成するために、ポリマー形成ガス、例えば酸素をチャンバ101へ流すステップを含む。一般に、イオンを基板に垂直に加速するために、プラズマは、約300ワット(0.96ワット/cm2)にバイアスされる。不動態層は、一般にトレンチの底部のコーナー58において次第に少なくなる。即ち、不動態層は、側壁の低い部分より側壁の上方において厚くなる。
【0044】
約3000Åの深さを有するトレンチをエッチングするために、Cl2とO2から成るエッチャントガスを用いるトレンチのエッチングプロセスの1つの実施に対するプロセスレシピは、表4に与えられる。
【0045】
表4:プロセスのレシピ
【0046】
ポリマー化及びイオン攻撃がチャンバ101の内部表面に付着する副産物を生成するので、ステップ208の異方性エッチングプロセスは、一般にダーティプロセスと呼ばれる。これらの副産物は、チャンバ内に累積し、それらが規則的に除去されない限りチャンバ状態を変える。さらに、イオン攻撃は、とりわけ基板の電気的特性を変える20Å〜100Åの厚さを有する損傷した層(図2Dには図示せず)を形成して、基板の外側表面の結晶構造を損傷する。
【0047】
本発明者は、拡大されたプロセスランに対してクリーンなチャンバを維持する1つの方法は、ソフトクリーニングステップ(ステップ210)をプロセス200に組み込むことであることを発見した。ソフトクリーニングステップは、主なトレンチプロファイル、即ち、トレンチの幅(開口)、深さ及び傾斜に殆ど影響を与えることなくチャンの内部表面に付着する副産物を除去する。半導体製造プロセスの従来例の下では、技術者は、エッチングされたプロファイルや基板を損傷すると言う恐れに対して、チャンバから処理された基板を取出した後だけチャンバにおいてクリーニングステップを行なう。しかしながら、本発明者は、シリコンのエッチングステップにソフトクリーニングステップを統合すること、即ちチャンに基板を伴ってソフトクリーニングステップを行うことは、多くの予期しない利益をもたらすことを発見した。例えば、本発明者によって発見された統合されたエッチングプロセスは、非常に多くの基板(ある場合には10,000枚またはそれ以上)が従来の方法とは異なるウエットクリーニングステップ間で処理されることを可能にしている。さらに、幾つかの例では、ソフトクリーニングステップは、ドライクリーニングステップに対する必要性を軽減さえしている。
【0048】
ソフトクリーニングステップは主に化学的プロセス、即ちバイアス電力をターンオフするか、或いは最小のバイアス電力(例えば、20ワットまたは0.06ワット/cm2より大きくない)をチャンバ101に供給して、副産物を生成する更なる物理的エッチングを除去するかまたは少なくとも最小にする。主なトレンチプロファイルは、不動態層56がトレンチの上部を保護するので、ソフトクリーニングステップによって影響がでるほど変わらない。しかし、図2Eに示されるように、ソフトクリーニングステップは、トレンチの底部コーナを丸くする。何故ならば、不動態層はこれらのコーナで次第に少なくなるからである。トレンチの底部コーナを丸くすることは、ステップカバレージを改善し、デバイス性能を向上する。また、ソフトクリーニングステップは、それが副産物を除去し、底部コーナを丸くするに従って、基板表面における損傷された層を取り除く。
【0049】
ソフトクリーニングステップの化学作用は、異方性エッチングステップの化学作用とは異なる。ソフトクリーニングステップは、1つまたはそれ以上のハロゲンソース、例えばSF6、CF4、C2F6、C3F8、C4F8、NF3及びCHF3を有するプロセスガスを流すステップを含む。好ましくは、プロセスガスは、ハロゲンソースの分離を増進するソース(例えば、酸素ソース)、またはプラズマの分布パターンを変える不活性ガス(例えば、アルゴン)又はその双方を含む。酸素ソース等は、ハロゲンソースの分離を増進するが、副産物も生成する。従って、特定のプロセスガスに対する酸素ソースなどの最適な量は、使用される特定のプロセスガスに基づいて決定されなければならない。例えば、プロセスガスがハロゲンソースとして実質的にCF4からなる場合、1つの特定なソフトクリーニングプロセスに対して、本発明者は、酸素に対する最適なガス流速の範囲は、CF4のガス流速の約10%から約40%の間、好ましくは、酸素に対する最適なガス流量は、CF4のガス流速の約20%であることを決定した。チャンバ内のハロゲンソースをより一様に分布するために、不活性ガス、例えばアルゴンがプロセスガスに含まれる。ソフトクリーニングステップの最適な間隔は、いろいろなトレンチのエッチングプロセスに対して変化する。例えば、ソフトクリーニングステップは、一般に多くの副産物を生成するエッチングプロセスに対して長い時間ランする必要がある。
【0050】
表5は、本発明の1つの実施の形態によるソフトクリーニングステップに対して、チャンバ圧力、ソース電力等の受け入れ可能な範囲を与える。
【0051】
表5:プロセスのレシピ
【0052】
1つの実験において、上記のプロセス200は、マイクロローディング効果及びエッチング速度の均一性を調べるために、8インチのウエハ用に装備されたApplied Materials社によって製造されたDPS+チャンバにおいて実行された。目標とされたトレンチの深さ及びプロファイルは、それぞれ4000Å及び85度であった。約400のウエハがプロセス200を用いて処理された。図4A−図4Dは、一般的なトレンチプロファイルを有するウエハのSEM写真のスケッチを示す。図4Aと図4Bは、基板中央のそれぞれdense領域とIso領域におけるトレンチ断面のSEM写真のスケッチを示す。dense領域におけるトレンチの深さとプロファイルは、それぞれ4160Åと85度であり、これに対してiso領域におけるトレンチの深さとプロファイルは、それぞれ4110Åと83度であった。エッチング速度のマイクロローディング及びプロファイルのマイクロローディングは、それぞれ50Åと2度の違いに影響を与えている。一方、図4Cと図4Dは、基板端部のそれぞれdense領域とIso領域におけるトレンチ断面のSEM写真のスケッチを示す。dense領域におけるトレンチの深さとプロファイルは、それぞれ4050Åと86度であり、これに対してiso領域におけるトレンチの深さとプロファイルは、それぞれ4010Åと84度であった。エッチング速度のマイクロローディング及びプロファイルのマイクロローディングは、生成された基板の端部においてそれぞれ50Åと2度の違いに影響を与えている。比較すると、従来のin−situホトレジスト手法は、8−10度のプロファイルのマイクロローディングの違い及び約300Åまたはそれ以上のエッチング速度のマイクロローディングの違いを生じている。
【0053】
ここに述べたガスの流速及びRF電力レベルは、8インチのウエハ用に装備されたApplied Materials社によって製造されたDPS+チャンバにおけるエッチングプロセスのランに基づいている。この分野の当業者は、これらの値は、一部特定のチャンバであり、もし、他の設計及び/又は大きさのチャンバが用いられるならば、変わるかもしれない。
【0054】
以上は、本発明のある好適な実施の形態に向けられているけれども、本発明の他の実施の形態は、本発明の基本的な範囲から逸脱することなく考えられる。例えば、1つの代替例は、トレンチのエッチングステップ前にソフトクリーニングステップを用いるこもができる。本発明の特定の実施の形態が浅いトレンチ分離構造の形成と関連して説明されたけれども、本発明は他のシリコンのエッチングプロセス用に用いることもできる。他の変形例及び均等物と共にこれらの代替例は、本発明の範囲内に含まれることを意図している。
【図面の簡単な説明】
【図1A】本発明の方法が実施される例示的半導体ウエハ処理システムの部分断面図を示す。
【図1B】図1Aの半導体ウエハ処理システムのブロック図を示す。
【図2A】パターン化されたホトレジスト層を有する半導体基板の簡略化した断面図を示す。
【図2B】ハードマスク及び酸化物に開口が開けられた後の図2Aの半導体基板の簡略化した断面図を示す。
【図2C】ホトレジストがストリップされた後の図2Bの半導体基板の簡略化した断面図を示す。
【図2D】トレンチが半導体基板上にエッチングされた後の図2Cの半導体基板の簡略化した断面図を示す。
【図2E】ソフトクリーニングステップが行われた後の図2Dの半導体基板の簡略化した断面図を示す。
【図3】本発明の実施の形態による例示的プロセスのフローチャートを示す。
【図4A】基板中央にあるdense領域のトレンチ断面のSEM写真のスケッチを示す。
【図4B】基板中央にあるiso領域のトレンチ断面のSEM写真のスケッチを示す。
【図4C】基板の端にあるdense領域のトレンチ断面のSEM写真のスケッチを示す。
【図4D】基板の端にあるiso領域のトレンチ断面のSEM写真のスケッチを示す。
Claims (15)
- ソース電力装置及びバイアス電力装置を有する形式の基板処理チャンバに配置されたシリコン基板を処理する方法であって、
前記基板処理チャンバ内に、基板上に形成されたハードマスク及び前記ハードマスクの一部を露出するように前記ハードマスクの上にあるパターン化されたホトレジストを有する基板を備えるステップと、
前記ハードマスクの下にあるシリコン基板の一部を露出するために、前記ハードマスクの露出した部分をエッチングするステップと、
その後、前記ハードマスクを除去するために第1のプロセスガスから生成された第1のプラズマに前記パターン化したホトレジストを曝すステップと、
その後、前記ソース電力装置からRFエネルギーを供給し、前記基板に向けて第2のプラズマをバイアスすることによって、第2のプロセスガスから生成される前記第2のプラズマに前記基板を曝すことによって、前記露出したシリコン基板上にトレンチをエッチングするステップと、
前記基板処理チャンバから外へ前記基板を移送するステップと、
を有することを特徴とする方法。 - 前記トレンチの各々は、特定の幅、深さ及び傾斜を有し、前記方法は、更に、前記処理チャンバの副産物を除去するために、バイアス電力を供給することなく又は最小のバイアス電力を供給して、基板処理チャンバにハロゲンソースを含む第3のプロセスガスから生成される第3のプラズマに前記シリコン基板を曝すステップを有し、前記トレンチの幅、深さ及び傾斜は、前記第3のプロセスガスから点弧されたプラズマによって著しく変えられないことを特徴とする請求項1に記載の方法。
- 前記シリコン基板をプラズマに曝すステップは、パターン化されたホトレジストが除去される前に行われることを特徴とする請求項2に記載の方法。
- 前記シリコン基板をプラズマに曝すステップは、前記基板がエッチングされた後に行われることを特徴とする請求項2に記載の方法。
- 前記パターン化されたホトレジストをプラズマに曝すステップは、
前記第1のプラズマに前記ホトレジストを曝している間、前記処理チャンバを低圧に維持するステップと、
酸素ガスを500sccm以下で前記処理チャンバに流すステップと、
バイアス電力を前記処理チャンバに供給するステップと、
を有することを特徴とする請求項1に記載の方法。 - 前記処理チャンバは、50mT以下に保たれ、前記酸素ガスは、100sccm以下で前記処理チャンバに流され、且つ、約0.16ワット/cm2以下のバイアス電力が供給されることを特徴とする請求項5に記載の方法。
- ソース電力装置及びバイアス電力装置を有する形式の基板処理チャンバに配置されたシリコン基板を処理する方法であって、
前記基板処理チャンバ内に、基板上に形成されたハードマスク及び前記ハードマスクの一部を露出するように前記ハードマスクの上にあるパターン化されたホトレジストを有する基板を備えるステップを有し、前記ホトレジスト及び前記ハードマスクは、それぞれ第1の厚さ及び第2の厚さを有し、ホトレジストの第1の厚さは、前記ハードマスクの第2の厚さの約2倍以下であり、
前記処理チャンバ内に点弧された第1のプラズマを前記パターン化されたホトレジスト及び前記ハードマスクの部分に曝して前記ハードマスクを開口し、且つハードマスクの開口を規定するステップを有し、前記パターン化されたホトレジストの一部が消費され、
その後、パターン化されたホトレジストを前記処理チャンバ内で点弧された第2のプラズマに曝して、前記ハードマスクから残りのホトレジストをストリップするステップを有し、前記残りのホトレジストは第3の厚さを有し、
その後、前記ソース電力装置からRFエネルギーを供給し、前記基板に向けて第2のプラズマをバイアスすることによって、前記処理チャンバ内に点弧された第3のプラズマに前記基板を曝すことによって、前記ハードマスクの開口の下にあるシリコン基板の選択された部分上に特定の深さのトレンチをエッチングするステップと、
前記基板処理チャンバから外へ前記基板を移送するステップと、
を有することを特徴とする方法。 - 前記トレンチの各々は、特定の幅、深さ及び傾斜を有し、前記方法は、更に、
前記処理チャンバにおける副産物を除去するために、バイアス電力を供給することなく、又は最小のバイアス電力を供給して基板処理チャンバ内にハロゲンソースを含むプロセスガスから前記処理チャンバ内に点弧された第4のプラズマに前記シリコン基板を曝すステップを有し、前記トレンチの前記幅、深さ、及び傾斜は、前記第3のプロセスガスから点弧されたプラズマによって著しく変えられないことを特徴とする請求項1に記載の方法。 - 前記ホトレジストの第1の厚さは、約3000Å以下であることを特徴とする請求項7に記載の方法。
- 前記ハードマスクの第2の厚さは、1500Å以下であることを特徴とする請求項9に記載の方法。
- 前記ホトレジストの第1の厚さは、2000Å以下であることを特徴とする請求項9に記載の方法。
- 前記ホトレジストの第3の厚さは、900Å以下であることを特徴とする請求項9に記載の方法。
- 前記トレンチは、約3000Åの深さまでエッチングされることを特徴とする請求項9に記載の方法。
- ソース電力装置及びバイアス電力装置を有する形式の基板処理チャンバに配置されたシリコン基板上にトレンチ絶縁構造を形成する方法であって、
前記基板を前記基板処理チャンバヘ移送するステップを有し、前記基板は、その上に形成されたハードマスク及び前記ハードマスクの上にあるパターン化されたホトレジストを有し、
前記ハードマスクの選択された部分をエッチングして、前記ハードマスクの下にあるシリコン基板の部分を露出するステップと、
その後、前記ホトレジストを前記処理チャンバ内で点弧されたプラズマに曝すことによって、前記ホトレジストを除去するステップと、
その後、前記ソース電力装置からRFエネルギーを供給し、前記基板に向けてプラズマをバイアスすることによって第1のエッチャントガスから生成されたプラズマに前記基板を曝すことによって、前記露出されたシリコン基板をエッチンすするステップと、
前記処理チャンバ内の副産物を除去するために、バイアス電力を供給することなく、又は約0.16ワット/cm2以下のバイアス電力を供給して、前記基板処理チャンバ内にハロゲンソースを含む第2のエッチャントガスから生成されるプラズマを点呼するステップと、
前記基板処理チャンバから外へ前記基板を移送するステップと、
を有することを特徴とする方法。 - 基板処理システムであって、
基板処理チャンバと、
ガスを前記基板処理チャンバへ流すように構成されたガス分配装置と、
前記基板処理チャンバ内にあるガスから前記基板の処理チャンバにプラズマを生成するように構成されたプラズマ生成装置と、
バイアス電力をペデスタルに供給するように構成されたバイアス電力発生装置と、
前記基板処理チャンバへ、及び前記基板処理チャンバから前記基板を移送するように構成された基板移送装置と
前記ガス分配装置、プラズマ生成装置、バイアス発生装置、及び基板移送装置を制御するためのコントローラと、
前記コントローラに接続されたメモリと、前記メモリは、前記基板処理システムの動作を指示するためのコンピュータ読取可能媒体に組込まれたコンピュータ読取可能プログラムを有するコンピュータ読取可能媒体を有し、
前記コンピュータ読取可能プログラムは、
(i)基板を前記基板処理チャンバヘ移送するため前記基板移送装置を制御するコンピュータ命令を有し、前記基板は、前記ハードマスクの部分を露出するように基板上に形成されたハードマスク及び前記ハードマスク上にあるパターン化されたホトレジストを有し、。
(ii)前記ハードマスクの露出した部分をエッチングして、前記ハードマスクの下にあるシリコン基板を露出するために、前記プラズマ生成装置を制御するコンピュータ命令と、
(iii)前記パターン化されたホトレジストを第1のプロセスガスから生成される第1のプラズマに曝して、前記ステップ(ii)の後に前記ハードマスクから前記ホトレジストを除去するために、前記プラズマ生成装置を制御するコンピュータ命令と、
(iv)前記ソース電力装置からRFエネルギーを供給し、基板に向けて前記プラズマをバイアスすることによって第2のプロセスガスから生成される第2のプラズマに前記基板を曝すことによって、前記露出した基板上にトレンチをエッチングするために、プラズマ生成装置及びバイアス電力発生装置を制御するコンピュータ命令と、
(v)前記基板処理チャンバから外へ前記基板を移送するために前記基板移送装置を制御するコンピュータ命令と、
を有することを特徴とする基板処理システム。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/637,838 US6677242B1 (en) | 2000-08-12 | 2000-08-12 | Integrated shallow trench isolation approach |
PCT/US2001/025391 WO2002015249A2 (en) | 2000-08-12 | 2001-08-13 | Integrated shallow trench isolation process |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004507086A true JP2004507086A (ja) | 2004-03-04 |
JP2004507086A5 JP2004507086A5 (ja) | 2008-10-09 |
Family
ID=24557558
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002520285A Pending JP2004507086A (ja) | 2000-08-12 | 2001-08-13 | 基板の処理方法及び処理システム |
Country Status (7)
Country | Link |
---|---|
US (1) | US6677242B1 (ja) |
EP (1) | EP1312108A2 (ja) |
JP (1) | JP2004507086A (ja) |
KR (1) | KR100852372B1 (ja) |
CN (1) | CN1276477C (ja) |
TW (1) | TW512462B (ja) |
WO (1) | WO2002015249A2 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005310944A (ja) * | 2004-04-20 | 2005-11-04 | Hitachi High-Technologies Corp | ドライエッチング方法 |
JP2008109071A (ja) * | 2006-09-25 | 2008-05-08 | Toshiba Corp | 半導体装置の製造方法、半導体製造装置及び半導体装置 |
JP2010245101A (ja) * | 2009-04-01 | 2010-10-28 | Hitachi High-Technologies Corp | ドライエッチング方法 |
Families Citing this family (175)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7169440B2 (en) * | 2002-04-16 | 2007-01-30 | Tokyo Electron Limited | Method for removing photoresist and etch residues |
US7078334B1 (en) * | 2002-06-06 | 2006-07-18 | Cypress Semiconductor Corporation | In situ hard mask approach for self-aligned contact etch |
US7026174B2 (en) * | 2002-09-30 | 2006-04-11 | Lam Research Corporation | Method for reducing wafer arcing |
US7528051B2 (en) * | 2004-05-14 | 2009-05-05 | Applied Materials, Inc. | Method of inducing stresses in the channel region of a transistor |
WO2006037151A2 (en) * | 2004-10-08 | 2006-04-13 | Silverbrook Research Pty Ltd | Method of removing polymer coating from an etched trench |
US7309641B2 (en) * | 2004-11-24 | 2007-12-18 | United Microelectronics Corp. | Method for rounding bottom corners of trench and shallow trench isolation process |
US7351664B2 (en) * | 2006-05-30 | 2008-04-01 | Lam Research Corporation | Methods for minimizing mask undercuts and notches for plasma processing system |
US8283255B2 (en) * | 2007-05-24 | 2012-10-09 | Lam Research Corporation | In-situ photoresist strip during plasma etching of active hard mask |
US7709396B2 (en) * | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
KR101429432B1 (ko) * | 2013-04-10 | 2014-08-12 | 주식회사 테스 | 기판처리방법 |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
CN103435002A (zh) * | 2013-08-05 | 2013-12-11 | 中航(重庆)微电子有限公司 | Mems牺牲层刻蚀方法 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10396000B2 (en) | 2015-07-01 | 2019-08-27 | International Business Machines Corporation | Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN105699429A (zh) * | 2016-01-08 | 2016-06-22 | 平高集团有限公司 | 一种微米级半导体传感器及其制备方法 |
US10727073B2 (en) * | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10269566B2 (en) * | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
CN107205306A (zh) * | 2017-05-26 | 2017-09-26 | 中国工程物理研究院流体物理研究所 | 基于数学对比法的光纤激光干涉电子密度测量系统及方法 |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
CN111937122A (zh) | 2018-03-30 | 2020-11-13 | 朗姆研究公司 | 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
CN110727390B (zh) * | 2018-07-16 | 2023-07-07 | 深圳大心电子科技有限公司 | 存储器管理方法以及存储控制器 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11114350B2 (en) | 2019-04-12 | 2021-09-07 | Applied Materials, Inc. | Method for removing photoresist from photomask substrate |
EP3956258A1 (en) * | 2019-04-15 | 2022-02-23 | Facebook Technologies, LLC. | Substrate modification by femto-second laser to achieve variable etch depth in dry etching |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6417430A (en) * | 1987-07-10 | 1989-01-20 | Fujitsu Ltd | Etching method |
JPH03119724A (ja) * | 1989-09-30 | 1991-05-22 | Toppan Printing Co Ltd | レジストアッシング方法 |
JPH04251926A (ja) * | 1991-01-10 | 1992-09-08 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH10256235A (ja) * | 1997-03-13 | 1998-09-25 | Toshiba Corp | プラズマ処理装置およびアッシング方法 |
WO1999030359A1 (en) * | 1997-12-05 | 1999-06-17 | Applied Materials, Inc. | New etch process for forming high aspect ratio trenches in silicon |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0223615A (ja) | 1988-07-12 | 1990-01-25 | Sharp Corp | 半導体装置の製造方法 |
EP0511448A1 (en) | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Method and apparatus for in-situ and on-line monitoring of a trench formation process |
US6171970B1 (en) * | 1998-01-27 | 2001-01-09 | Texas Instruments Incorporated | Method for forming high-density integrated circuit capacitors |
US6037265A (en) * | 1998-02-12 | 2000-03-14 | Applied Materials, Inc. | Etchant gas and a method for etching transistor gates |
US6069086A (en) | 1998-04-30 | 2000-05-30 | Applied Materials, Inc. | Non-HBr shallow trench isolation etch process |
US6380096B2 (en) | 1998-07-09 | 2002-04-30 | Applied Materials, Inc. | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
JP4039504B2 (ja) | 1998-11-10 | 2008-01-30 | シャープ株式会社 | 半導体装置の製造方法 |
KR20000044928A (ko) * | 1998-12-30 | 2000-07-15 | 김영환 | 반도체 소자의 트랜치 형성 방법 |
US6218309B1 (en) | 1999-06-30 | 2001-04-17 | Lam Research Corporation | Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features |
US6221772B1 (en) * | 1999-07-14 | 2001-04-24 | United Microelectronics Corp. | Method of cleaning the polymer from within holes on a semiconductor wafer |
US6180533B1 (en) | 1999-08-10 | 2001-01-30 | Applied Materials, Inc. | Method for etching a trench having rounded top corners in a silicon substrate |
US6342428B1 (en) * | 1999-10-04 | 2002-01-29 | Philips Electronics North America Corp. | Method for a consistent shallow trench etch profile |
US6346488B1 (en) * | 2000-06-27 | 2002-02-12 | Lsi Logic Corporation | Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions |
-
2000
- 2000-08-12 US US09/637,838 patent/US6677242B1/en not_active Expired - Fee Related
-
2001
- 2001-08-13 WO PCT/US2001/025391 patent/WO2002015249A2/en not_active Application Discontinuation
- 2001-08-13 KR KR1020037002070A patent/KR100852372B1/ko not_active IP Right Cessation
- 2001-08-13 TW TW090119821A patent/TW512462B/zh not_active IP Right Cessation
- 2001-08-13 EP EP01963975A patent/EP1312108A2/en not_active Withdrawn
- 2001-08-13 CN CNB018154956A patent/CN1276477C/zh not_active Expired - Fee Related
- 2001-08-13 JP JP2002520285A patent/JP2004507086A/ja active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6417430A (en) * | 1987-07-10 | 1989-01-20 | Fujitsu Ltd | Etching method |
JPH03119724A (ja) * | 1989-09-30 | 1991-05-22 | Toppan Printing Co Ltd | レジストアッシング方法 |
JPH04251926A (ja) * | 1991-01-10 | 1992-09-08 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH10256235A (ja) * | 1997-03-13 | 1998-09-25 | Toshiba Corp | プラズマ処理装置およびアッシング方法 |
WO1999030359A1 (en) * | 1997-12-05 | 1999-06-17 | Applied Materials, Inc. | New etch process for forming high aspect ratio trenches in silicon |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005310944A (ja) * | 2004-04-20 | 2005-11-04 | Hitachi High-Technologies Corp | ドライエッチング方法 |
JP2008109071A (ja) * | 2006-09-25 | 2008-05-08 | Toshiba Corp | 半導体装置の製造方法、半導体製造装置及び半導体装置 |
JP2010245101A (ja) * | 2009-04-01 | 2010-10-28 | Hitachi High-Technologies Corp | ドライエッチング方法 |
US8207066B2 (en) | 2009-04-01 | 2012-06-26 | Hitachi High-Technologies Corporation | Dry etching method |
Also Published As
Publication number | Publication date |
---|---|
CN1455950A (zh) | 2003-11-12 |
KR20030031152A (ko) | 2003-04-18 |
TW512462B (en) | 2002-12-01 |
WO2002015249A3 (en) | 2002-09-12 |
WO2002015249A2 (en) | 2002-02-21 |
CN1276477C (zh) | 2006-09-20 |
US6677242B1 (en) | 2004-01-13 |
KR100852372B1 (ko) | 2008-08-18 |
EP1312108A2 (en) | 2003-05-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2004507086A (ja) | 基板の処理方法及び処理システム | |
US6599842B2 (en) | Method for rounding corners and removing damaged outer surfaces of a trench | |
US6566270B1 (en) | Integration of silicon etch and chamber cleaning processes | |
CN105390389B (zh) | 高深宽比结构中的触点清洁 | |
US7341922B2 (en) | Dry etching method, fabrication method for semiconductor device, and dry etching apparatus | |
US6461974B1 (en) | High temperature tungsten etching process | |
JP4579611B2 (ja) | ドライエッチング方法 | |
US20170032955A1 (en) | Plasma processing apparatus and plasma processing method | |
US6277763B1 (en) | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen | |
JP5632280B2 (ja) | 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置 | |
EP1087423A2 (en) | Method for etching films on substrates, for cleaning etch chambers, and apparatus therefore | |
US20020016080A1 (en) | Two etchant etch method | |
JP2016139792A (ja) | 異方性タングステンエッチングのための方法および装置 | |
KR20090087423A (ko) | 플라즈마 에칭 방법 및 컴퓨터 기억 매체 | |
JP2023540291A (ja) | 選択的異方性金属エッチング | |
JP2009193989A (ja) | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 | |
JP2007505366A (ja) | リソグラフィマスク上にエッチングされている特徴部の寸法の維持 | |
JP3323190B2 (ja) | ドライエッチング方法、半導体装置の製造方法及びドライエッチング装置 | |
US6372151B1 (en) | Storage poly process without carbon contamination | |
JPH10177997A (ja) | Barcおよび窒化物のその場エッチングプロセス | |
WO2024111454A1 (ja) | ドライ現像方法及びドライ現像装置 | |
JP5058478B2 (ja) | 半導体装置の製造方法、プラズマ処理方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体 | |
KR980012064A (ko) | 단결성 실리콘 에칭 방법 | |
JP2021190692A (ja) | ボーイングを抑制するための保護側壁層を形成する方法及び装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080813 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080813 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110601 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110609 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20111110 |