TW512462B - Integrated shallow trench isolation approach - Google Patents

Integrated shallow trench isolation approach Download PDF

Info

Publication number
TW512462B
TW512462B TW090119821A TW90119821A TW512462B TW 512462 B TW512462 B TW 512462B TW 090119821 A TW090119821 A TW 090119821A TW 90119821 A TW90119821 A TW 90119821A TW 512462 B TW512462 B TW 512462B
Authority
TW
Taiwan
Prior art keywords
substrate
processing chamber
photoresist
plasma
processing
Prior art date
Application number
TW090119821A
Other languages
English (en)
Inventor
Wei Liu
Scott Williams
Stephen Yuen
David Mui
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW512462B publication Critical patent/TW512462B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Description

五 經濟部智慧財產局員工消費合作社印製 發明説明() 發明領域: 本發明係關於一種蝕刻矽的方法。更y 明係關於一矽整合蝕刻過程,該過砰而σ之,本發 (請先閲讀背面之注意事項再填寫本頁) i I, I #在相同的虛S & 中執行光阻層移除和矽蝕刻的步驟。 至 發明背景: 現今的半導體晶片通常包含有數百萬個電晶體 :止鄰近電晶體之間發生短路,在這些電晶體之間均會. 提供隔絕結構。一時常被使用的隔絕 a ,,,, x , D稱疋淺溝隔絕 ::=rr lation;STI)結構。通常淺溝隔絕 架構疋利用非同步(ex-situ)㈣硬罩淺溝隔絕或同步 (in-situ)的光阻淺溝隔絕處理所構成。 外部蝕刻硬罩處理通常需要依次地在一矽基材上形 成-墊氧化層和一氮化矽層。一光阻層形成於該氮化物 層上,而隨後被佈局。該基材被傳送至一第一處理室, 以移除位於氮化物層和氧化物其下的曝露部分。然後, 將該基材傳送至一第二處理室,以剝除該光阻層。接著, 將該基材傳送至一第三處理室,以蝕刻矽基材的曝露部 分直到形成許多淺溝。再利用介電質材料,例如二氧化 矽’依序將這些淺溝填滿,以形成隔絕淺溝結構。每一 第一、第二和第三處理室均被最佳化,以執行它的特定 蝕刻操作。這種處理可能會造成的一問題是,它至少需 要三個不同蝕刻處理室,而增加每個晶圓的所有權的費 用。此外’將基材送入和送出這些三個處理室會造成產 量減少,也可能造成微粒和/或缺陷的控制問題。 基於考慮到這些缺點,比外部蝕刻硬罩處理使用少 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 一個處理室的内部光阻處理在近來則被更普遍的使用。 此過程包含依次地在一矽基材上形成一墊氧化層和一氮 化矽層。一光阻層形成於該氮化物層上,而隨後被佈局。 該基材被傳送至一矽蝕刻處理室1位於氮化物層和氧化 物其下的曝露部分將被蝕刻,以曝露矽基材的被選擇部 分。然後,在此相同的·處理室内,對矽基材的被選擇部 分進行蝕刻以形成淺溝。然後,將此基材傳送至一光阻 剝除處理室,以剝除該已佈局的光阻。 雖然此内部光阻處理可較外部蝕刻硬罩處理提供改 良之容許能力和更佳的微粒/缺陷控制管理,但是此内部 光阻處理仍會引起一些它自己的問題。其中之一問題 是,由於矽蝕刻步驟摻雜剩餘光阻所產生的副產物,如 一氧化矽,使得在矽蝕刻步驟之後光阻變得難以剝除。 而另一個問題是,内部光阻處理造成較外部蝕刻硬罩處 理更嚴重的輪廓微負載效應(pr〇file micr(D_lQading 經濟部智慧財產局員工消費合作社印製 effects)。以產生具有一斜度角度85度的淺溝為目標而 吕’前者通常有8-10度或更多的變動。相較下,後者通 常會相對於目標的架構產生3-5度的變動。輪廓微負載效 應係與這些稠密區域(dense areas)與那些在其他類似 區域的淺溝斜度角度差別相關連。此外,内部光阻處理 造成較外部蝕刻硬罩處理更嚴重的輪廓微負載效應。以 產生具有一深度大約3, 500A的淺溝為目標而言,前者通常 會產生300A或更多的蝕刻速率深度變動。相較下,就相 同的架構而言,後者會產生2〇〇 A或更少的蝕刻速率深度 變動。蝕刻速率微負載效係與這些稠密區域(dense areas 與那些在其他類似區域的蝕刻速率深度差別相關連。 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 五、發明説明() 綜上所述’ 一種可增加產量並改進微粒/缺陷控制而 不會產生先前描述之内部光阻處理之缺點的淺溝處理確 有其提出的必要。 (請先閲讀背面之注意事項再填寫本頁) t明目的及概述: 本發明係提供一種蝕刻矽的改良方法。本發明之實施 例說明在同一處理室内執行光阻剝除方式與矽蝕刻步 驟。本發明可增加矽基材處理的產量。 根據本發明之一實施例,一種用以在放置於一基材處 理室内的一矽基材上形成一淺溝隔離架構,該方法至少包 括傳送該基材進入該基材處理室。該基材具有一硬罩幕形 成於其上方和一已佈局光阻覆蓋於該硬罩幕上。該基材處 理室係為具有一電源系統和一偏壓電源系統之類型。該方 法另包括蝕刻該硬罩幕之一選定部分,以使位於該硬罩幕 下方之部分的矽基材曝露。然後,藉由使該光阻曝露於在 該處理室内點燃的一電漿,以移除該光阻。然後,藉由曝 露該基材於一電漿與藉由從電源系統中施加射頻能量和 偏壓該電漿朝向該基材,該電漿係形成於一第一處理氣體 經濟部智慧財產局員工消費合作社印製 中。然後,藉由曝露該基材於一第二電漿中,以在該已曝 露矽基材上蝕刻淺溝,藉由從電源系統中施加射頻能量和 偏壓該第二電漿朝向該基材,而該第二電漿係形成於一第 二處理氣體中。最後,該基材係被傳送離開該基材處理 室0 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512462 五 經濟部智慧財產局員工消費合作社印製 A7 B7 發明說明() 圖式簡單說明: 以下各圖式用以說明本發明,但尺寸則不限定如圖式 中者®式中的各元件標號係與詳細說明中相同標號所指 之元件為同者’以配合圖示進行說明。 第1A圖顯示可用以執行本發明之方法的一代表性半導體 晶圓處理系統的局部剖面圖。 第1B圖顯示在第1A圖中之半導體晶圓處理系統的方塊 圖。 第2A圖顯示具有一已佈局光阻層的一半導體基材的簡化 剖面圖。 第2B圖顯示第2A圖中之半導體基材在蝕刻硬罩與氧化物 均已被開口之後的簡化剖面圖。 第2C圖顯示第2B圖中之半導體基材在光阻被剥除之後的 簡化剖面圖。 弟2D圖顯示弟2C圖中之半導體基材在其上被蚀刻出一淺 溝之後的簡化剖面圖。 弟2E圖顯示弟2D圖中之半導體基材在執行一軟式清潔步 驟之後的間化剖面圖。 第3圖顯示根據一本發明之一實施例的一代表性處理流 程圖。 第4 A圖顯示在一基材之中心的一稠密區域中淺溝剖面的 一掃瞄式電子顯微鏡照片略圖。 第4B圖顯示在一基材之中心的一類似區域中淺溝剖面的 一掃瞄式電子顯微鏡照片略圖。 第4 C圖顯示在一基材之邊緣的一網後、區域中淺溝剖面的 一掃瞄式電子顯微鏡照片略圖。 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) I n ϋ n n n d I I l I « n — - n I l n n 一。f · iw w a···. aas aav w着 · (請先閱讀背面之注意事項再填寫本頁) 512462 A7 B7 五、發明説明()
經濟部智慧財產局員工消費合作社印製 第4D圖顯示在一基材之邊緣的一 類似區域中淺溝剖面 一掃瞄式電子顯微鏡照片略 圖。 為更 進一步了結本發明, 應參考下列之詳細說明。 圖號對照說明: 50 基材 52 墊氧化層 53 蝕刻硬罩幕層 54 已佈局光阻層 56 保護層 58 底部角落 100 半導體晶圓處理系統 1 01 處理室 102 天線 103 圓頂 104 處理容積 105 電源供應器 106 偏壓電源供應器 107 基座 108 處理監視器 109 排氣系統 110 晶圓 111 圓柱形側壁 112 機械臂 113 底部 114 狹縫閥 120 氣體操縱盤 122 氣體管路 124 闊門 130 電漿 140 系統控制器單元 160 可規劃中央處理器 162 電腦可讀取記憶體 164 主存儲裝置 166 輸入控制單元 168 顯示單元 170 系統匯流排 172 支援電路 174 電源供應器 176 計時器 178 快速緩衝儲存區 180 輸入/輸出電路 第9頁 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 512462 A7 ___ B7 五、發明説明() 181 處理室感應器 182 閥門 !84 攪拌塊 190 程式 發明詳細說明: I · 代表性處理室 第1A圖顯示可用以執行本發明之方法的一代表性半 導體晶圓處理系統1 〇 〇的局部剖面圖。系統1 〇 〇至少包括 一處理室101、一電源供應器105、一偏壓電源供應器1〇6 和一控制器140。處理室101包括係由一圓頂1〇3、一圓柱 形側壁111和一底部113所界定的一處理容積104。圓頂 103—般是由一如陶瓷或石英的一介電材料所製成。圓柱 形側壁111和底部11 3是由,例如鋁或不鏽鋼的一金屬材 料所製成。電源供應器105耦接一射頻(rf)信號(如12. 56 兆赫)至一天線1 〇 2。天線1 0 2具有複數個彎曲部分接近頂 部103,並產生射頻電磁場以激發位於容積1〇4内的一處 理氣體(或氣體群),以形成和/或維持一電漿丨3〇。 機械臂11 2,以虛線顯示之,透過一狹縫闊11 4傳送 這些晶圓11 0進入和離開處理室1 〇 1。在基材處理過程 中’一半導體晶圓可以部分地包含有已形成集積電路架 構,並由一基座(靜電夾盤)1〇7所支撐。使晶圓11〇曝露 在電漿中以易於處理。電漿130中的離子和電子撞擊晶圓 110。因為電子比離子更活潑易動,會有比離子更多的電 子撞擊晶圓。而造成晶圓11 〇將獲得一負偏壓❹負偏壓使 電衆中的正離子加速移向晶圓110。電源供應器1〇6向基 座107供給一射頻信號(如400千赫或13. 56兆赫)使得晶 圓偏壓。在電漿130中的離子密度主要是由施加於天線 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) — — — — — — — — — — — — — — — — — — — — — — — ^ — — — — — — — — — I I I I 1 (請先閲讀背面之注意事項再填寫本頁) 512462 A7 B7 五、發明說明() 1 0 2的信號所控制’而離子的能量則主要是由施加於基座 1 0 7的信號所控制。 處理室1 0 1内的部分溫度是藉由循環一流體(如水基 乙烯乙二醇(water-based ethylene glycol))通過位在 處理室的側壁Π 1和圓頂1 0 3内的分離熱交換通道(未顯 示)所控制。蝕刻基材的溫度是藉由通過位在基座内1 0 7 之通道(未顯示)而施加於基材背部之一氣體的結合和是 藉由循環一流體通過位在基座内107的一熱交換通道(未 顯示)所控。 一處理監視器108用以監控在處理室1〇1内的狀況。 處理監視器可以是任何的感應器,或是感應器的結合, 用以測量取決於處理室1 0 1内所發生之處理的一狀況。在 一範例中,處理監視器108是一光學放射分光儀(〇pt icai EmissionSpectrometer; 0ES)。光學放射分光儀監控電 漿1 3 0之放射線的放射物。如此的放射線是取決於發生在 處理室1 0 1中之處理的進展。此外,處理監視器1 〇 8亦可 包括一干涉儀,用以測量高度,例如蝕刻身入晶圓Π 〇的 一表面的淺溝深度。如此的干涉儀利用從淺溝的頂部和 底部所反射的光干擾來測量淺溝的深度。如果處理監視 器1 0 8是一光學放射分光儀或干涉儀,處理室1 〇 1内部的 放射線將透過一透明的孔徑,例如藍寶石或石英頂部 1 0 3,與處理監視器相耦接。此外,可在頂部1 〇 3或側壁 111提供一分離窗口以達成上述之效果。 處理監視器1 0 8與系統1 0 0的各個組成部分均與控制 器1 4 0相耦接。控制器1 4 0包括有硬體,以提供一些必要 信號以啟始、監控、調節和終止在處理室1 〇 1中發生的處 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇l297公釐) 一 --------------裝 (請先閱讀背面之注意事項再填寫本頁) 訂------l·!#· 經濟部智慧財產局員工消費合作社印製 512462 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 理。處理室101可為退耦電漿源(decoupled pUsma source ; DPS)蝕刻處理室等,其為美國加州聖克拉拉的 應用材料公司所製造者。處理室101包含有處理一半導體 晶圓所需要的零件。 處理室101的外部一般是在大氣壓力環境下,而在處 理處理期間處理室1 〇 1的内部被維持在一減少的壓力 下。 一排氣系統1 0 9在處理室10 1之内調節壓力。一氣體 平板120透過一氣體管路122和一閥門124將處理氣體送 至處理室1 〇 1。在一處理處理中,例如一蝕刻處理,藉由 施加射頻功率於處理氣體以在處理室1 〇 1形成1 3 0。射頻 功率來源105提供能量予天線1〇2,以在處理室ιοί内產生 並維持電漿之存在。熟知本項技術之人員應理解將會需 要複數個步驟來激化在處理室内的電漿;換言之,需供 應一處理氣體,施加電源於天線,再施加偏壓電源於基 座,並依此類推。這些步驟均屬於一普通技術人員的技 術範圍之内而不需進一步解釋。 本發明的方法可被執行於一系統,而該系統被一運 用處理器(p r 〇 c e s s 〇 r - b a s e d)系統控制器所控制,例如第 1A圖的控制器140。第1B圖顯示在第1A圖中之半導體晶圓 處理系統的方塊圖,其具有如此的系統控制器1 4 0便可應 用於如此的容量。 系統控制器單元140包括一可規劃中央處理器(CPU) 1 6 0,其可操作一電腦可讀取記憶體1 6 2,一主存儲裝置 164 ’ 一輸入控制單元ι66和一顯示單元168。此系統控制 器另包括常見的支援電路1 7 2,例如電源供應器1 7 4、計 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) ---------------裝--------訂------- (請先閱讀背面之注意事項再填寫本頁) 512462 經濟部智慧財產局員工消費合作社印製 A7 _________Β7 ______ 五、發明說明() 時器176、快取記憶體178、輸入/輸出(1/〇)電路18〇等 等。控制器140也包括透過在處理室ιοί内的感應器181以 監控所處理之晶圓的硬體。如此的感應器會測量,例如 晶圓溫度、處理室大氣壓力等等的系統參數。上述的這 些零件都與一控制系統匯流排1 7 0相耦接。 β己隐體1 6 2包含有指令,以使中央處理單位1 6 〇執 行以控制處理系統丨5 8的操作。記憶體1 6 2中的指令是 以私式編碼形式存在,例如一程式丨9 〇可執行本發明的 方法。此程式代碼可能符合一些不同編程語言的其中之 一。例如,可利用C、C + +、BASIC、Pascal或一些其它 的程式語言來編寫此程式代碼。電腦程式丨9 〇可能會包 括一些不同的子程式/例如,在一特定的實施例中,程 式190包括一處理選擇子程式、一處理定序器子程式和 用於一多處理室系統中之每一處理室的處理室管理子程 式。程式1 9 0也包括用以控制每一處理室j 〇丨的個別零 件的子权式’其子程式此子程式則包括,例如一基材放 置子程式、一處理氣體控制子程式、一壓力控制子程式、 一溫度控制子程式和一電漿控制子程式。熟知本項技術 之人員可容易瞭解到處理室1〇1中將執行何種處理將決 定所包含的其它處理室控制子程式。 處理選擇子程式確定(丨)所希望的處理室(如果處理 室1〇1是一多處理室處理系統的一部分)和(i υ為了操作 處理室執行所希望之處理所需要之希望處理參數。用以 執行一特定處理的處理參數是有關於處理狀況,例如處 理氣體成份和流動比率、溫度、壓力,電衆狀況,例如 射頻功率層級和低頻率射頻頻率、冷卻氣體壓力和處理 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公餐y —1— l·—11 .—I—丨·丨—I---- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 512462 A7 _丨 一 B7 五、發明說明() 室的牆面溫度等。這些參數在—製程的形成中被提供给 使用者,並且是使用輸入控制界面166所輸入。 處理疋序奋子程式包括程式代碼係用以從處理選擇 器子程式接受已確定處理室和處理參數,並用以在—個 多處理室系統中控制各個處理室的操作。許多使用者可 以輸入處理裝置數目和處理室數目,或是一使用者也可 以輸入多個處理裝置數目和處理室數目,因此定序器子 程式開始運作,以安排料擇的處理在所希望的序列 中。更佳的作法是,定序器子程式包括程式代碼以⑴監 控處理室的操作以決定是否使用這些處理室,(ii)決定 所使用 < 處理室是執行何種處理和(iii)以一處理室和 將執行之處理的類型的可利用性為基礎執行所希望之處 理。這些處理室的監控得以一般方法為之,例如徵詢法 (polling)。在安排哪一個處理將被執行,定序器子程式 可考慮到所使用之處理室的目前的狀況與一已選擇處理 之所希望處理狀況,或每一個特定使用者輸入之要求的,· 時期,或一系統程式員決定安排優先時希望能列入考慮 的任何其它有關因素。 & 當定序器子程式決定哪一個處理室與處理裝置的結 合接著將要被執行時,定序器子程式藉由傳遞該特定處 理裝置參數給一特定處理室管理器子程式以啟始處理裝 置的執行。根據由定序器子程式所決定之處理裝置,特 定處理室管理器子程式控制該特定處理室的許多處理任 務。在操作方面,依據特定處理裝置的執行,處理室管 理器子程式有選擇地計畫或調用處理部分子程式。一般 而呂,處理室管理器子私式監控各種處理室零件,以被 第U頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) -----i----裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 512462 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 執行之處理裝置的處理參數為基礎而決定哪一個零件需 要運作,並啟始個別的處理室部分子程式的執行,以對 監控和決定的步驟作出回應。 在此項技術領域中的一普通技術人員應能夠瞭解如 何執行每一個特定處理室部分子程式。例如,基材放置 子程式應包括控制處理室部分的程式代碼,以裝載基材 於基座107上,或者以抬起基座和基材至處理室1〇1内的 一所希望的高度,以對於基材進行處理。 主存儲裝置1 6 4係存儲資料和指令’並從一處理器 讀取記錄媒體中重新得到資料和程式代碼指令,例如 磁碟片或者卡帶。舉例來說,主存儲裝置164可以是一 碟磁碟機、軟碟磁碟機、卡帶磁碟機,或光碟磁碟機 王存儲裝置164儲存和重新獲得指令,以回應從中央處 單位160所獲得到之指示。被主存儲裝置164所儲存和一 新獲得之資料和程式的代碼指令會被處理器單元1 6 〇所 利用以操作處理系統丨00。主存儲裝置164首先從一媒體 中重新得到資料和程式代碼指令,然後轉移到記憶體162 以提供中央處理單位16〇使用。 輸入控制單元1 6 6耦接一資料輸入裝置,例如一 盤、滑鼠或光筆,至處理器單元16〇以為所收到處理室 作員的輸入做準備。在中央處理單位16〇控制下的顯示 疋168係以圖形顯示和字母與數字字符的形式對一處 室操作員提供資訊。 控制系統匯流排170提供資料的轉移和控制信號 所有與控制系統匯流排i 7〇耦接的裝置。 雖然所顯示之控制系統匯流排是一單一匯流排, 第15頁 本紙張尺度賴中關家鮮(CNS)A4祕(21()χ 297 丁 可 硬 理重 鍵操單 理 給 並 -------I* 1111 -----I--訂 ---------r--· (請先閱讀背面之注意事項再填寫本頁) 512462 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 直接連接至中央處理單位i 6 〇中的裝置,但是控制系統匯 流排170也可以是一匯流排的集合。舉例來說,顯示單 元168輸入控制單元166和主存餘裝置I”可以與一輸入 -輸出外圍匯流排耦接’而使中央處理單位1 6 〇和記憶體 1 6 2與一内部處理器匯流排相耦接。使内部處理器匯流排 和輸入輸出外圍匯流排耦接一起以形成控制系統匯流排 170。 系統控制器1 4 0與處理系統1 〇 〇的要件搞接,並依據 本發明經由系統匯流排1 7 0和輸入輸出電路1 8 〇被利用於 蝕刻處理中。這些要件包括複數個閥門182 (如第u圖之 閥門124)、處理監視器1〇8、排氣系統1〇9、電源供應器 105、偏壓電源供應器1〇6、狹縫閥114、氣體平板12〇、 機械臂112、處理室感應器181和一可選用混合區塊 184(未顯示於第1A圖中,但是可以被連接至氣體平板12〇 或處理室1 0 1)。 系統管理态1 4 0提供k號給上述之各種處理室要 件’以使這些要件成執行所希望之操作,並在處理室^ 〇又 中執行一所希望之處理。 儘管本發明是以軟體執行並利用--般用途電腦執 行,但熟知本項技術之人員應能理解可利用硬體,例如 一特定用途積體電路(application specific integrated circuit ; ASIC)或其它的硬體電路系統來執 行本發明。如此一來,應該可理解的是可執行本發明, 整個或部分地,在軟體、硬體或二者一起。那些熟知本 項技術之人員也應能理解的是,選擇一適用的電腦系統 以控制基材處理系統1 0 0是取決於一般技術的事情。 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) —^—Λ. —------— (請先閱讀背面之注意事項再填寫本頁) 訂------l·!#. 512462 A7 B7 五、發明説明() 11 ·本發明的矽處理 (請先閲讀背面之注意事項再填寫本頁) 本發明的方法可被用以在適當基材處理室内的許多 蝕刻矽應用,例如上述之代表性處理室。然而,為達到 說明之效果,在下面所描述之本發明將與涉及一淺隔離 處理之於一矽基材上蝕刻淺溝的步驟相結合。此外,在 下面之描述中的參考數字係可合用在第1A圖與第1B圖中 所代表之適當部分作為參考。 請參考第2A圖至第2E圖與第3圖。一處理200 (第3圖) 包括’放置一基材5 〇 (第2 A圖)於處理室1 〇 1 (矽蝕刻處理 室)的基座107上(步驟2〇1)。基材有一墊氧化層52提供於 其上’一餘刻硬罩幕層5 3,例如氮化石夕層,覆蓋於該墊 氧化層上’和一已佈局光阻層54利用常見之技術覆蓋於 該蝕刻硬罩幕層上。 該塾氧化層通常會在基材上形成,以為該餘刻硬罩 幕層提供一良好黏附表面。在一實施例中,基材可包括 一”電層’例如一反反光射塗佈層(anti—reflective coating layer)(未顯示)位於钱刻硬罩幕層53和塾氧化 層52之間。 經濟部智慧財產局員工消費合作社印製 在第2B圖中,當此基材已被放入處理室時,將蝕刻 硬罩幕層53開口以曝露位在其下方之襯墊氧化物層(步 驟2 0 2 )。一般而言,會利用非等向性蝕刻技術移除蝕刻 硬罩幕層的被選擇部分。接著,在步驟202中被曝露的墊 氧化層5 2部分被移除,以曝露矽基材(步驟2 0 4 )。根據本 發明的一實施例,用以將氮化物與氧化物開口之處理製 程將在下列的表1與表2中被分別提供。在表1和表2中,Wb 代表偏壓電源供應器106所施加之偏壓功率,Ws則表示電 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512462 A7 B7 五、發明說明() 源供應器1 0 5所供給之電源功率,而壓力則表示在蝕刻處 理期間在處理室之内的壓力。在移除氮化物步驟中,利 用端點檢測來決定此步驟的持續時間,換言之,執行此 步驟直到一端點檢測系統偵測到位於其下方之氧化物 層0 ------:---------------^-------^-- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第18頁 處理製程 氮化物開口 壓力 25 mT Wb 250 Watts (0. 8 Watt/cm2) Ws 7 0 0瓦特 SF6 10 seem CHFa 100 seem 時間 端點檢測 表1 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 512462 A7 B7 五、發明說明() 地少土技不 主 ^ 氧化物開口 ---—- 壓力 30 mT Wb 50 Watts (0·16 Watt/cm2) ----J Ws 750瓦特 CF 80 seem Ar ‘ 120 seem 時間 15-25秒 表2 上述之氮化物開口步驟的製程具有一氮化物對光阻 的選擇比約為1 · 3 : 1 ’其表示移除氮化物層的速率比移除 光阻層的速率較A 。 、、、 1 · 3倍。舉例來說,對於具有厚度 15〇〇A的氮化物層53,光阻層的厚度被要求需具有約 1154A的最夕#度。而更佳的作法是,光阻層具有 5〇〇-9〇〇A的額外厚度以彌補刻面現象(faceting phen⑽ena)。因此,在一實施例中,光阻層“最初具有 约1 650A至2150A的厚度,以利用15〇〇人的厚度在氮化物 層上開口。在氮化物開口步驟20 2之後,一般在蝕刻硬 罩上殘留的光阻層具有約5〇〇 —9〇〇A的厚度。當此氮化物 層已被開口時,在某些情況下殘餘的光阻層並不需要在 位於其下方之氧化物層上開口,因為可使用氮化物層代 替光阻層。在另一實施例中,光阻層54最初具有一約 2300-3500A的厚度,而約3〇〇〇A的厚度則更佳,以利用此 光阻層使位於其下方之氮化物層和氧化物層被開口。在 本發明的特定師施例中可以將此光阻層的厚度維持於最 第19頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐 ---------r----裝--- (請先閱讀背面之注意事項再填寫本頁) 旬· 經濟部智慧財產局員工消費合作社印製
512462 五、發明說明() 低厚度因為在碎基材上餘刻淺溝之前此光阻層必須先 被剥除。 在習知的内部光阻處理中,因為會將光阻層保留於 氮化物層上以被繼續用來在碎基材上姓刻㈣,因此需 要十分厚的光阻層。一般而言,光阻層需要20 00A或更 多的額外厚度,以在習知的内部光阻處理中㈣深度約 3000A的淺溝。例如,為了在一矽基材上形成深度約3〇〇〇a 的淺溝,並在其上分別具有厚度1 5 Ο〇Λ的氮化物層和厚 度100Α的氧化物層,習知之處理會利用厚度5〇〇〇Α或更多 的光阻層。執行如此的一習知處理對發展至〇.丨8以瓜或甚 至更小的元件而言是特別困難,因為當元件尺寸減小時 光阻佈局方位比例作卻相對增加。最終,由於微影製程 中的焦點深度的限制,習知的内部光阻處理會達到其處 理極限,也無法再被用以製造小尺寸元件,例如〇. 13 “瓜 元件。 在相互的比較中,本發明之特定實施例的内部光阻 處理可使用厚度300 0A或更少的光阻層,如先前所逮。因 此,光阻厚度的減少補償了元件尺寸的減少,而由此減 If:或防止光阻佈局方位比例的增加,當這些元件變得更 小時。因此,根據本發明的内部光阻處理可以確實地用 以處理具有最小特徵尺寸的元件〇 18 # m、〇 13 V m或更 小的尺寸。 在第2C圖中,在蝕刻硬罩和墊氧化層上開口之後, 在利用氧氣所激起的一電漿之内剥除殘餘的光阻層,換 言之,剥除該光阻層但並沒有將基材從矽蝕刻處理室中 移走(步驟20 6)。此步驟與一般的作法是相反的。一般而 第20頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------Γ----裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 發明説明() 經濟部智慧財產局員工消費合作社印製 5 大多數的光阻是在一拉2丨丨止 理h, 在特別先阻剥除處理室(下游處 理室)内進行外部剝除,並藉 至(下游處 f大邛分之光阻曝露於活 注原子團而非直接將光阻曝露 材上的架構所造成之損害。在電^,因為擔心對基 因處理工程師通常都認為將光阻直接曝露在電 ㊁二…:刻處理室内部剝除光阻的概念是十分的不 實際。外部剝除光阻的另一個 1U席因疋,光阻一直到之前 不久都具有十分高的金屬 门刃鱼屬雜質層次。因此,如果在蝕刻 處理至内部剝除光阻, θ5 Τ此會發生一個嚴重金屬污染問 續。除了上述問題以外,这此_ 卜 I二處理工程師均不願進行 :剝除光阻處理因為他們擔心必須在同樣進行"輻射 置南"㈣處理的處理$巾進㈣除光阻。輻射塵量高 “例如矽蝕刻步驟,會產生副產物(一氧化矽s丨〇)可 ^光阻此口 ,而使光阻剝除執行困難或造成微粒控制 題。為&些和其他的原目,纟習知的淺溝隔絕處理中 光阻均在一特別處理室中進行外部剝除。例如,在習 的蝕刻硬罩處理中,在將此基材放入矽蝕刻處理室 刖,先將基材在另一處理室剝除光阻,而在習知的内 光阻處理中,在矽蝕刻步驟以後光阻會在另一處理室 被剝除。 然而’與習知知識相反的,本發明之發明者卻意 地發現可以執行光阻層剝除的處理2 〇 〇在執行矽蝕刻 驟的同一處理室内。此代表,在執行矽蝕刻步驟之前 先内部剝除光阻。在處理2 〇 〇中,損害問題變得不再 重’因為位於下方的氮化物層。污染問題也變得不 重’因為近來光阻的純度等級已被大幅度提升。最 内 塵 能 問 知 之 部 内 外 步 嚴 再嚴 (請先閲讀背面之注意事項再填寫本頁) 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 512462 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 因與光阻混合而產生的副產物也被解決,如下面後續之 說明。 根據本發明的一實施例,藉著時常執行清潔步驟, 例如每一次載入均執行一乾清潔措施,以使矽蝕刻處理 室免除副產物或聚合物(S i 〇2)的產生。同樣情況下,也可 在處理2 0 0中加入相當地清潔矽蝕刻步驟,以減少處理室 籍上的聚合物增加。如此一步驟的一例子需要使用一姓 刻氣體,例如一處理氣體中的SF 6。除了上述之措施之外, 處理2 0 0可以結合一軟式清潔步驟,如同後續之詳細 釋’以減少處理室牆·上的聚合物,並易於在處理2 〇 〇中 ~ 長處理運作。如此處所提到之使用情況,"無聚合物" . 詞是指處理室牆上的聚合物的數量並不會多得足以危 處理2 0 0之可靠性的一情況。而熟知此項技術的一人員 能理解的是,如此的一種情況將根據所使用之處理室 所執行之處理等等而變更。 返回至步驟206,用於光阻剥除步驟的處理製程, 據本發明的一實施例,提供在下面的表3中。在表3中, 代表偏塵電源供應器106所施加之偏壓功率,則表示 源供應器105所供給之電源功率,而壓力則表示在蝕刻 理期間在處理室之内的壓力。在一特定時期中執行剥 步驟,而該時期是到達位於下方之氮化物層53所花費 時間,並加上用以達到過蝕刻效果的上述時間的4〇%的 結合。例如,如果利用一端點檢測系統可在1〇秒偵 下方層’則此處理將繼續執行另外的4秒,以達到過 效果。 W 在下面的表3中所提供的處理製程是一代表性 第22頁 延 及 應
Wb 電 處 之 測到 蚀刻 處理 ------------裝--------訂-------^--- (請先閱讀背面之注意事項再填寫本頁) 512462 A7 B7 五、發明說明( 製程。而許多其它處理製程也可用以剝除光阻。在一實 施例中,處理室壓力可以是約2 mT至約100 mT之間,偏 壓功率可以是0瓦特至約1 〇 〇瓦特之間,電源功率可以是 約2 0 0瓦特至約2 0 0 0瓦特之間,而氧氣流率可以是約 5seem至約5 0 0sccm之間。在另一實施例中,除氧氣之外, 例如氦、氮化物、氬或其結合的惰性氣體可以流入處理 室。 _ 處理製程 光阻剥除 壓力
10 mT 20 Watts (〇· 07 Watt/cm2)
Ws 1 0 0 0瓦特 〇2 50 seem 時間 端點檢測+過蚀刻 40% 表3 -----!--------------^---------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 在光阻被剥除以後,使用一常見的非等向性蝕刻技 術内部地蝕刻一淺溝(步驟208),如第2D圖所示。在蝕刻 淺溝時,非等向性蝕刻技術需要施加一偏壓功率以指引 離子垂直地朝向基材,並流動的聚合物形成一 foaing)之氣體,例如氧氣進入處理室ι〇ι,以在淺溝的 側壁上形成一保護層5 6。一般電漿县妯你師 电來疋被偏壓至約3 0 0瓦特 (0.96瓦特/’以垂直地加速離子朝向基材。保護層 第23頁 512462 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 通常在底部角落5 8是逐漸減少淺溝;換言之,保護層在 上層側壁的厚度比在下層側壁的厚度更為厚。 淺溝蝕刻處理的一執行的處理製程是使用一姓刻氣 體由氯氣(CIO和氧氣(〇〇所組成,用以蝕刻深度約3, 00 0A的一淺溝,並提供在下面的表4中。 處理製程 - 光阻剥除 壓:力 — 35 mT Wb 300 Watts (〇. 96 Watt/cm2) Ws 1 0 0 0瓦特 〇2 90 seem 時間 28秒 表4 步驟208的非等向性蝕刻處理一般被視為是一高輻 射塵量處理,因為聚合作用(p〇lymerizati〇n)和離子 (ion bombardment)轟擊會產生副產物黏附於處理室1〇 i 的内部表面。這些副產物累積在處理室内而改變處理室 狀況,除非定期地移除這些副產物。 此外,離子轟擊在基材的外部表面毁壞結晶架構, 而產生具有厚度20-10 oA的一毁損層(未顯示於第21)圖 中)’而毁損層除了改變別的狀況以外還改變基材的電性 質。 本發明之發明者已發現為延長的處理運作維持處哩 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----:------Φ裝--------訂------- (請先閱讀背面之注意事項再填寫本頁) 512462 經濟部智慧財產局員工消費合作社印製 A7 __B7_ 發明說明() 室清潔的一方法是,處理2 Ο 0需納入一軟式清潔步驟(步 騾2 1 〇)。軟式清渾步騾移除黏附於處理室的内部表面的 副產物而不改變主要淺溝輪廓,也就是,淺溝的寬度(開 口)、深度和斜度。在半導體製造處理的習知範例下,工 程師僅僅在將已處理基材移出處理室後才執行清潔步 騾,是因為擔心會改變所蝕刻之輪廓和損壞基材。然而 本發明之發明者卻意外地發現若將軟式清潔步驟與矽蝕 刻處理相結合,也就是在處理室中執行軟式清潔步騾於 基材上,可提供許多未預料到的好處。舉例來說,由本 發明之發明者發現的整合蝕刻處理可容納比習知方法一 更多數量的基材(10,000個或在某些情況下是更多個)被 處理於濕清潔步驟之間。此外,在一些例子中,軟式清 潔步驟可能甚至減輕對乾清潔步驟的需要。 軟式清潔步驟主要包含有一化學處理,即換言之, ^ ^或施加一最小量偏壓功率(如不超過20瓦特或〇· 06 瓦特/ c m )至處理A 1 0 1 ’以使後續的物理蚀刻除去或是 至少減到取少。因物理蚀刻產生副產物。因為保護層5 6 保護淺溝的上層部分,故主要淺溝輪廓應不會因軟式清 潔步驟而被改變。然而,因為保護層在那些角落部分逐 漸減少’軟式清潔步驟使得淺溝底部的角落變彳^較圓 鈍,如第2E圖所顯示。圓鈍的淺溝底部角落可改進階梯 覆蓋(step coverage),並改進元件性能。當軟式清潔步 驟移除副產物並圓鈍底部角落時,敕式:奋:智丰' τ 祆式用/眾步驟也可從 基材的表面移除毀損層。 軟式清潔步驟的化學性與非等向性蝕刻步驟的化學 性是不相同的。軟式清潔步驟包括流動一處理氣^ ,訪 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------------訂·—------ (請先閱讀背面之注意事項再填寫本頁) 512462 A7 B7 _ 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 處理氣體具有一或更多的函素來源,例如SF6、CF4、C2F6、 C3F8、C4F8、NFs與CHF3。更佳的作法是,處理氣體也包括 一來源(如氧氣來源),以增強函素來源的分離或改變電 漿分配模式的一惰性氣體(如氬),或二者均有。氧氣來 源等一類東西會增強鹵素來源的分離,但同時也產生副 產物。因此,對所使用的特定處理氣體而言,必須決定 用於一個特定處理氣體之氧氣來源的最理想數量或等一 類東西。例如,以一特定軟式清潔處理而言,其處理氣 體基本上是由CF4所組成,例如_素來源,發明者已決定 〇2的最理想氣體流動範圍是在CF4的氣體流動比率的約百 Λ 分之1 0到約百分之4 0之間,而更佳的作法是,〇2的氣體流 _ 動比率是CF4的氣體流動比率的約百分之2 0。一惰性氣體 如氬可以被包括於處理氣體中,以在處理室内平均地分 配鹵素來源。軟式清潔步驟的最理想持續時間隨著不同 的淺溝蚀刻處理而變化。例如,軟式清潔步驟在一蝕刻 處理中通常需要被運作一較長的時間,而產生更多的副 產物。 根據本發明的一個實施例,下面的表5提供軟式清潔 步驟之處理室壓力、偏壓功率、電源功率等一類東西之 可接受範圍。 經濟部智慧財產局員工消費合作社印製 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 512462 A7 B7
五、發明說明() 處理製程 光阻剝除 壓力 1 _ — 10 mT Wb 20 Watts (0. 07 Watt/cm2) Ws 300-1,500 瓦特 CFb 10-400 seem Ar 0-400 seem 〇2 0-200 seem 時間 5- 100秒 表5 在一實驗中,處理2 0 0係被實行於一由應用材料所製 造的DPS +處理室中。該處理室係為八英吋晶圓之配備, 用以檢驗微負載效應與蚀刻速率一致性。其欲達到之淺 溝深度與輪廓分別是4000A和85度β約有400個晶圓是利 用處理200所處理的。第4Α圖至第4D圖顯示在一基材之淺 溝剖面的一掃瞄式電子顯微鏡照片略圖。第4Α圖至第4Β 圖分別顯示在一基材之中心在稠密地區和其它類似地區 中淺溝告II两的一掃瞄式電子顯微鏡照片略圖。在稠密地 區的淺溝深度和輪廓分別是4 1 6 0 Α和8 5度,而在其它類似 地區的淺溝深度和輪廓分別是4 11 0 A和8 3度。蝕刻速率的 微負載和輪廓的微負載效應分別產生50A和2度的變化。 另一方面,第4C圖至第4D圖分別顯示在一基材之邊緣在 稠密地區和其它類似地區中淺溝剖面的一掃瞄式電子顯 微鏡照片略圖。在稠密地區的淺溝深度和輪廓分別是 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------‘--------------訂-------I f請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 512462 A7 _____ B7 ____________ 五、發明說明() 405 0A和86度,而在其它類似地區的淺溝深度和輪廓分別 是40 10A和84度。基材邊緣的蝕刻速率的微負載和輪廣的 微負載效應迷你裝載分別產生40A和2度的變化。在相互 比較中,習知内部光阻處理產生8 - 1 0度的輪廓微負載變 化,和蚀刻-比率的微負載產生約3 0 0 A或更多的變化° 此處所描述的氣體流動比率和射頻功率是基於為八 英吋晶圓所配備之一 dps+處理室内所運作的蝕刻處理’ 此DPS +處理室係由應用材料所製造。而熟知此項技術的 一人員將理解的是,這些數值在某種程度上是處理室特 有的,而如果是其使用他設計和/或體積的處理室這些數 ' 值則可能會有變化。 儘管先前的說明是針對於本發明的某些較佳的實施 例,故應可以不脫離發明之基本範圍的設計出本發明的 其它和進一步的實施例。例如,可以選擇在淺溝蝕刻步 驟前使用軟清潔步驟。雖然關於淺溝隔絕架構的形成已 經在先前之本發明的特定實施例中描述,但是還是可以 將本發明用作其它矽蝕刻處理。這些選擇和其它選擇和 等效設計均應被包括在本發明範圍之内。 -----------. — I —訂·-------- (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費舍作社印製 κ 8 2 第 ί297 X f10 2 ✓fv 格 規 4 lNS)A (c 準 標 家 國 國 中 用 適 度 尺 張一紙 本

Claims (1)

  1. Μ 2462
    申請專利範圍 經濟部智慧財產局員工消費合作社印製 1. 一種用以處理放置於一基材處理室内的一矽基材的方 法’此類型之基材處理室具—電源系、统和一偏壓電 源系統’該方法至少包括下列步驟· 提供該基材於該基材處理室内部,該基材具有一 硬罩幕形成於其上和一已佈局光阻覆蓋於該硬軍幕 上,以曝露部分的該硬罩幕; 餘刻已曝露的部分硬罩幕,以曝露位於該硬罩幕 下方之部分的矽基材; 然後,曝露該已佈局光阻於一第一電漿中,以從 該硬罩幕上移除該光阻,而該第一電漿係形成於一第 一處理氣體中; 然後,藉由曝露該基材於一第二電漿中,以在該 已曝路矽基材上蝕刻淺溝,藉由從電源系統中施加射 頻能量和偏壓該第二電漿朝向該基材,而該第二電漿 係形成於一第二處理氣體中;及 傳送該基材離開該基材處理室。 2·如申請專利範圍第丨項所述之方法,其中上述之每一戔 溝具有特定寬度、深度和斜度,該方法另包括:/ 曝露該矽基材於一第三電漿中,以移除在該處理 至内的副產物,該第三電漿係形成於該基材處理室沒 有施加偏壓功率或運用最小限度偏壓功率情況下的一 第三處理氣體中,該第三處理氣體包括一齒素來源, 其中淺溝的寬度、深度和斜度並沒有因為電漿是在該 第二處理氣體中被點燃的而被嚴重的改變。 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐) ...........$........訂.........線·· (請先閱讀背面之注意事項再填寫本頁} 512462 8 8 8 8 ABCD 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 3.如申請專利範圍第2項所述之方法,其中上述之曝露該 石夕基材於一電漿中的步驟是在該已佈局光阻被移除之 前所完成。 4·如申請專利範圍第2項所述之方法,其中上述之曝露該 石夕基材於一電漿中的步驟是在該基材已被蝕刻之後所 完成。 5·如申請專利範圍第1項所述之方法,其中上述之曝露該 矽基材於一電漿中的步驟更包括下列步驟: 在該光阻被曝露於第一電漿中時,維持該處理室 在一低壓力下; 將不超過500 seem的氧氣氣體流入該處理室;及 施加一偏壓功率於該處理室。 6·如申請專利範圍第5項所述之方法,其中上述之處理室 係維持於不超過50 mT,不超過100 sccm的氧氣氣體流 入該處理室,並施加不超過約〇16瓦特/平方公分的偏 壓功率β 7. —種用以處理放置於一基材處理室内的一矽基材的 法’此類型之基材處理室具有一電源系統和一偏壓電 源系統,該方法至少包括下列步驟. 提供該基材於該基材處理室内部,該基材具有— 硬罩幕形成於其上和一已佈局光阻覆蓋於該硬罩幕 上’以曝露部分的該硬罩幕,其中該光阻與硬罩幕八 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公复) •.....'---- -----I......、一叮.........#_ (請先閱讀背面之注意事項再填寫本頁) 申請專利範圍 別具有一第一厚度與一第二厚度,而該光阻之第一厚 度係不超過該硬罩幕之第二厚度之兩倍; (請先閲讀背面之注意事項再填寫本頁} 使在該處理室内點燃的一第一電漿接觸該已佈局 光阻與部分的硬罩幕,以在該硬罩幕上開口並界定該 硬罩幕之開口,其中一部份的已佈局光阻被消耗; 然後’曝露該已佈局光阻於在該處理室内點燃的 一第二電漿中,以從該硬罩幕上剝除剩餘的光阻,其 中該剩餘的光阻具有一第三厚度; 然後,經由曝露該基材於一第三電漿,在該已曝 露矽基材的選定部分上蝕刻具有特定深度之淺溝,藉 由從電源系統中施加射頻能量和偏壓該第二電襞朝向 該基材;及 傳送該基材離開該基材處理室。 8·如申請專利範圍第1項所述之方法,其中上述之每一淺 溝具有特定寬度、深度和斜度,該方法更包括丁列步 驟: 經濟部智慧財產局員工消費合作社印製 曝露該矽基材於在該處理室内點燃的——第四電聚 中’以移除在該處理室内的副產物,該第四電聚係形 成於該基材處理室沒有施加偏壓功率或運用最小限度 偏壓功率情況下的一第四處理氣體中,該第四處理氣 體包括一鹵素來源,其中淺溝的寬度、深度和斜度並 沒有因為電漿是在該第三處理氣體中被點燃的而被嚴 重的改變。 9·如申請專利範圍第7項所述之方法,其中上述之光阻之 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) '' -------- 512462 8 8 AB 8 8 CD 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 第一厚度係不超過大約3〇〇〇人。 10·如申請專利範圍第9項所述之方法,其中上述之硬罩 幕之第二厚度係不超過約1 5 0 〇人。 11.如申請專利範圍第,9項所述之方法,其中上述之光阻 之第一厚度係不超過2000入。 12·如申請專利範圍第9項所述之方法,其中上述之光阻 之第三厚度係不超過900 A。 1 3 ·如申請專利範圍第9項所述之方法,其中上述之淺溝 被蝕刻至大約3 0 0 0 A的深度。 14· 一種用以在放置於一基材處理室内的一石夕基材上形 成一淺溝隔離架構之方法,此類型之基材處理室具有 一電源系統和一偏壓電源系統,該方法至少包括下列 步驟: 傳送該基材進入該基材處理室,該基材具有一硬 罩幕形成於其上方和一已佈局光阻覆蓋於該硬罩幕 上; 触刻該硬罩幕之一選定部分’以使位於該硬罩幕 下方之部分的矽基材曝露; 然後,藉由使該光阻曝露於在該處理室内點燃的 一電漿,以移除該光阻; 然後,藉由曝露該基材於一電漿與藉由從電源系 第32貫 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) " ^ - ...............§........、1叮.........,· (請先閲讀背面之注意事項再填寫本頁} 512462 ABCD 六、申請專利範圍 統中施加射頻能量和偏壓該電漿朝向該基材,該電漿 係形成於一第一處理氣體中; (請先閲讀背面之注意事項再填寫本頁) 點燃電漿以移除在該處理室内的副產物,該電 漿係形成於該基材處理室沒有施加偏壓功率或運用最 小限度偏壓功率情況下的一第二處理氣體中; 傳送該基材離開該基材處理室。 1 5· —種基材處理系統,該系統至少包括: 一基材處理室; 一氣體分配系統,用以將氣體流入至該基材處 理室; 一電裂產生系統,用以在該基材處理室内利用 其中現有之氣體形成一電毁; 一偏壓電力產生系統,用以向一基座施加一偏 壓電力; 一基材傳送系統,用以傳送基材進入和離開該 基材處理室; 一控制器’用以控制這氣體分配系統、電漿產 生系統、偏壓電力產生系統和基材傳送系統;及 經濟部智慧財產局員工消費合作社印製 一 δ己憶體,係耦接於該控制器,該記憶體至少 包括一電腦可讀取記錄媒體,其具有一電腦可讀取 程式内建於其中,用以指揮該基材處理系統之操 作,該電腦可讀取程式至少包括: (1 ) 基材移動電腦指令,用以控制該基材 傳送系統傳送該基材進入該基材處理室,該 基材具有一硬罩幕形成於其上方和一已佈局 第33頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公爱)
    /、、申清專利範圍 光阻覆蓋於該硬罩幕上,該已佈局光阻係用 以曝露部分的硬罩幕; (1 1 ) 餘刻暴出部分電腦指令,用以控制該 電襞產生系統蝕刻已曝露部分的硬罩幕,以 使位於該硬罩幕下方之矽基材曝露; (11 i)光阻移除電腦指令,用以控制該電漿 產生系統曝露該已佈局光阻於一第一電製 中’以在步驟(ii)之後從該硬罩幕上移除該 光阻,而該第一電漿係形成於一第一處理氣 體中 (i v) 溝渠蝕刻電腦指令,用以控制該電衆 產生系統和該偏壓電源產生系統藉由曝露該 基材於一第二電漿中,以在該已曝露矽基材 上#刻淺溝,藉由從電源系統中施加射頻能 量和偏壓該第二電漿朝向該基材,而該第二 電漿係形成於一第二處理氣體中;和 (ν) 移出基材電腦指令,用以控制該基材傳 送系統傳送該基材離開該基材處理室。 ..............^9........、可.........^_· (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱)
TW090119821A 2000-08-12 2001-08-13 Integrated shallow trench isolation approach TW512462B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/637,838 US6677242B1 (en) 2000-08-12 2000-08-12 Integrated shallow trench isolation approach

Publications (1)

Publication Number Publication Date
TW512462B true TW512462B (en) 2002-12-01

Family

ID=24557558

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090119821A TW512462B (en) 2000-08-12 2001-08-13 Integrated shallow trench isolation approach

Country Status (7)

Country Link
US (1) US6677242B1 (zh)
EP (1) EP1312108A2 (zh)
JP (1) JP2004507086A (zh)
KR (1) KR100852372B1 (zh)
CN (1) CN1276477C (zh)
TW (1) TW512462B (zh)
WO (1) WO2002015249A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110727390B (zh) * 2018-07-16 2023-07-07 深圳大心电子科技有限公司 存储器管理方法以及存储控制器

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
JP2005310944A (ja) * 2004-04-20 2005-11-04 Hitachi High-Technologies Corp ドライエッチング方法
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
KR100857629B1 (ko) 2004-10-08 2008-09-08 실버브룩 리서치 피티와이 리미티드 에칭된 트렌치로부터 폴리머 코팅의 제거 방법
US7309641B2 (en) * 2004-11-24 2007-12-18 United Microelectronics Corp. Method for rounding bottom corners of trench and shallow trench isolation process
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
JP4745273B2 (ja) * 2006-09-25 2011-08-10 株式会社東芝 半導体装置の製造方法及び半導体製造装置
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP2010245101A (ja) 2009-04-01 2010-10-28 Hitachi High-Technologies Corp ドライエッチング方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101429432B1 (ko) * 2013-04-10 2014-08-12 주식회사 테스 기판처리방법
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN103435002A (zh) * 2013-08-05 2013-12-11 中航(重庆)微电子有限公司 Mems牺牲层刻蚀方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10396000B2 (en) 2015-07-01 2019-08-27 International Business Machines Corporation Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105699429A (zh) * 2016-01-08 2016-06-22 平高集团有限公司 一种微米级半导体传感器及其制备方法
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107205306A (zh) * 2017-05-26 2017-09-26 中国工程物理研究院流体物理研究所 基于数学对比法的光纤激光干涉电子密度测量系统及方法
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114350B2 (en) 2019-04-12 2021-09-07 Applied Materials, Inc. Method for removing photoresist from photomask substrate
CN113677619A (zh) * 2019-04-15 2021-11-19 脸谱科技有限责任公司 干法蚀刻中通过飞秒激光修饰衬底以实现可变蚀刻深度

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6417430A (en) * 1987-07-10 1989-01-20 Fujitsu Ltd Etching method
JPH0223615A (ja) 1988-07-12 1990-01-25 Sharp Corp 半導体装置の製造方法
JPH03119724A (ja) * 1989-09-30 1991-05-22 Toppan Printing Co Ltd レジストアッシング方法
JPH04251926A (ja) * 1991-01-10 1992-09-08 Fujitsu Ltd 半導体装置の製造方法
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH10256235A (ja) * 1997-03-13 1998-09-25 Toshiba Corp プラズマ処理装置およびアッシング方法
US6127278A (en) 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6171970B1 (en) * 1998-01-27 2001-01-09 Texas Instruments Incorporated Method for forming high-density integrated circuit capacitors
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US6069086A (en) 1998-04-30 2000-05-30 Applied Materials, Inc. Non-HBr shallow trench isolation etch process
US6380096B2 (en) 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP4039504B2 (ja) 1998-11-10 2008-01-30 シャープ株式会社 半導体装置の製造方法
KR20000044928A (ko) * 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6221772B1 (en) * 1999-07-14 2001-04-24 United Microelectronics Corp. Method of cleaning the polymer from within holes on a semiconductor wafer
US6180533B1 (en) 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
US6342428B1 (en) * 1999-10-04 2002-01-29 Philips Electronics North America Corp. Method for a consistent shallow trench etch profile
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110727390B (zh) * 2018-07-16 2023-07-07 深圳大心电子科技有限公司 存储器管理方法以及存储控制器

Also Published As

Publication number Publication date
EP1312108A2 (en) 2003-05-21
KR20030031152A (ko) 2003-04-18
CN1455950A (zh) 2003-11-12
CN1276477C (zh) 2006-09-20
US6677242B1 (en) 2004-01-13
KR100852372B1 (ko) 2008-08-18
WO2002015249A3 (en) 2002-09-12
JP2004507086A (ja) 2004-03-04
WO2002015249A2 (en) 2002-02-21

Similar Documents

Publication Publication Date Title
TW512462B (en) Integrated shallow trench isolation approach
US7429533B2 (en) Pitch reduction
JP5632280B2 (ja) 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置
US7491647B2 (en) Etch with striation control
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
TWI467651B (zh) 活性硬遮罩電漿蝕刻時之現場光阻剝除
JP5437237B2 (ja) ディープシリコンエッチングにおけるマスクアンダカットの最小化
US20110117749A1 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
US8986492B2 (en) Spacer formation for array double patterning
US20070075038A1 (en) Vertical profile fixing
JP2010109373A (ja) 二重層マスク、三重層マスクのcd制御
JP2012175105A (ja) 疑似ハードマスクのためのウィグリング制御
KR101155843B1 (ko) 균일성 제어에 의한 에칭
JP2007528610A (ja) ラインエッジラフネス制御
JP2008172184A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8668805B2 (en) Line end shortening reduction during etch
TW573326B (en) Storage poly process without carbon contamination
CN101060080B (zh) 在介电层中蚀刻特征的方法
JP5058478B2 (ja) 半導体装置の製造方法、プラズマ処理方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2006278517A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees