CN1455950A - 集成电路浅沟槽隔离方法 - Google Patents
集成电路浅沟槽隔离方法 Download PDFInfo
- Publication number
- CN1455950A CN1455950A CN01815495A CN01815495A CN1455950A CN 1455950 A CN1455950 A CN 1455950A CN 01815495 A CN01815495 A CN 01815495A CN 01815495 A CN01815495 A CN 01815495A CN 1455950 A CN1455950 A CN 1455950A
- Authority
- CN
- China
- Prior art keywords
- substrate
- hard mask
- plasma
- process cavity
- photoresist
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 164
- 230000008569 process Effects 0.000 title claims abstract description 96
- 238000002955 isolation Methods 0.000 title claims description 9
- 239000000758 substrate Substances 0.000 claims abstract description 121
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 81
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 54
- 239000010703 silicon Substances 0.000 claims abstract description 54
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 51
- 238000012545 processing Methods 0.000 claims abstract description 39
- 238000005530 etching Methods 0.000 claims abstract description 35
- 239000007789 gas Substances 0.000 claims description 45
- 239000000126 substance Substances 0.000 claims description 17
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 12
- 239000001301 oxygen Substances 0.000 claims description 12
- 229910052760 oxygen Inorganic materials 0.000 claims description 12
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 11
- 150000002500 ions Chemical class 0.000 claims description 10
- 229910052736 halogen Inorganic materials 0.000 claims description 8
- 150000002367 halogens Chemical class 0.000 claims description 8
- 238000010276 construction Methods 0.000 claims description 2
- 238000009826 distribution Methods 0.000 claims description 2
- 238000010248 power generation Methods 0.000 claims 3
- 150000003376 silicon Chemical class 0.000 claims 3
- 238000005516 engineering process Methods 0.000 description 28
- 238000004140 cleaning Methods 0.000 description 25
- 150000004767 nitrides Chemical class 0.000 description 23
- 210000002381 plasma Anatomy 0.000 description 21
- 235000012431 wafers Nutrition 0.000 description 17
- 238000011068 loading method Methods 0.000 description 15
- 230000000694 effects Effects 0.000 description 14
- 239000004065 semiconductor Substances 0.000 description 12
- 238000010586 diagram Methods 0.000 description 11
- 238000001259 photo etching Methods 0.000 description 9
- 239000011248 coating agent Substances 0.000 description 8
- 238000000576 coating method Methods 0.000 description 8
- 238000009413 insulation Methods 0.000 description 8
- 239000003292 glue Substances 0.000 description 7
- 230000000873 masking effect Effects 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000012544 monitoring process Methods 0.000 description 6
- 229920000642 polymer Polymers 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- 238000002161 passivation Methods 0.000 description 5
- 230000000712 assembly Effects 0.000 description 4
- 238000000429 assembly Methods 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 230000001276 controlling effect Effects 0.000 description 4
- 230000002950 deficient Effects 0.000 description 4
- 238000002389 environmental scanning electron microscopy Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000008676 import Effects 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000010849 ion bombardment Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 238000000605 extraction Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000014759 maintenance of location Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 238000011084 recovery Methods 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000007514 turning Methods 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 210000004027 cell Anatomy 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 235000010987 pectin Nutrition 0.000 description 1
- 229920001277 pectin Polymers 0.000 description 1
- 239000001814 pectin Substances 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Element Separation (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
一种加工被置于基片加工腔室内的硅基片的方法,其包括把该基片送入加工腔室。该基片上有硬掩膜和位于硬掩膜之上,成图案的光刻胶以暴露部分硬掩膜。该类型的腔室有电源系统和偏压源系统。该方法还包含蚀刻硬掩膜的暴露部分以暴露硬掩膜下面的部分硅基片。然后,成图案的光刻胶被暴露于由第一工作气体形成的第一等离子体中以除去硬掩膜上的光刻胶。然后,暴露的硅基片通过暴露于由第二工作气体形成的第二等离子体中,通过从电源系统施加RF能量并施加偏压使该等离子体偏向基片而被蚀刻。基片被送出基片加工腔室。
Description
技术背景
本发明涉及一种蚀刻硅的方法。更具体地说,本发明涉及一种集成硅蚀刻工艺,包括在同一个腔室内进行除去光刻胶和蚀刻硅的步骤。
今天的半导体基片通常包含数百万的晶体管。在这些晶体管之间有隔离结构以防止相邻的晶体管之间短路。一个通常使用的隔离结构是浅沟槽隔离(STI)结构。STI结构通常是通过离位(ex-situ)硬掩膜STI或原位光刻STI方法制造的。
离位硬掩膜方法通常要在硅基片上连续地形成一氧化物垫层和一氮化硅层。光刻胶层在氮化物层上形成然后蚀刻图案。基片被转移到一第一腔室以除去氮化物层和其下氧化物层的暴露部分。基片然后被转移到一第二腔室以除掉光刻胶。然后基片被转移到一第三腔室以蚀刻硅基片的暴露部分而形成沟槽,沟槽随后用介电材料,如二氧化硅,填充该沟槽,以形成浅沟槽隔离结构。每个第一,第二和第三腔室被优化以便进行它们各自特定的蚀刻操作。该方法有一个问题就是它要求至少三个不同的腔室,这增加了所有者的每个晶片的成本。而且,把基片转移进并转移出这三个腔室减少了产量,并且也可能产生颗粒和/或缺陷控制问题。
考虑到这些缺陷,原位光刻胶方法比离位硬掩膜方法要少用一个腔室,最近获得更广泛的使用。该工艺要在硅基片上连续形成一个氧化物垫层和一个氮化硅层。在氮化物层上形成一光刻胶层,然后蚀刻图案。基片被转移到一个硅蚀刻腔室。氮化物层暴露的部分及其下的氧化物层被蚀刻以暴露硅基片的被选择的部分。随后,在同一个腔室,基片被选择的部分被蚀刻以形成沟槽。然后,基片被转移到光刻胶剥离室,如腔室以除去形成图案的光刻胶。
虽然原位光刻方法比离位硬掩膜方法提供了更高的产量和更好的颗粒/缺陷控制管理,但前者本身也引起一些问题。一个问题就是如果是在硅蚀刻步骤之后去除光刻胶,光刻胶就变得难于除去,这是因为副产物,例如硅蚀刻步骤产生的二氧化硅,和剩余的光刻胶混合在一起。另一个问题是原位方法较离位硬掩膜步骤产生了增加的轮廓微负载效应(profile micro-loading effect)。前者通常对沟槽有8-10度或更大的偏差,而沟槽的目标锥角是85度。相比较,后者通常对目标结构产生3-5度的偏差。轮廓微负载效应(profile micro-loading effect)是指在致密区和绝缘区(iso areas)的沟槽锥角的差异。另外,原位光刻方法还较离位硬掩膜方法产生增加的蚀刻速率微负载效应(etch-ratemicro-loading effect)。前者通常对大约为3500的沟槽蚀刻深度引起蚀刻速率深度偏差为300或更多。相比较而言,后者对基本上相同的结构产生大约200或更少的蚀刻速率深度偏差。蚀刻速率微负载效应(etch-rate micro-loading effect)是指在致密区和绝缘区的蚀刻速度深度的差异。
因此,有必要发展一种STI方法,它能增加产量并改善颗粒/缺陷控制而没有原位光刻胶蚀刻步骤STI方法所带来的缺点。
发明概述
本发明提供了一种改进的蚀刻硅的方法。本发明的实施方案教导了在同一个腔室内进行光刻胶剥离和硅蚀刻的方法和步骤。本发明增加了硅基片加工的产量。
根据本发明的一个实施方案,一种加工被置于基片加工腔室内硅基片的方法,包括将基片传送到基片加工腔室。基片上有一个硬掩膜,在该硬掩膜上面有一层成图案的光刻胶以暴露部分硬掩膜。腔室是有电源系统和偏压源系统的那一类腔室。该方法还包括蚀刻硬掩膜的暴露部分以暴露硬掩膜下面的部分硅基片。然后,成图案的光刻胶被暴露于由第一工作气体形成的第一等离子体以从硬掩膜上除去光刻胶。然后,暴露的硅基片通过把基片暴露于由第二工作气体形成的第二等离子体中通过从电源系统施加RF能量并让加偏压使等离子体偏向基片而被蚀刻。基片被传送出基片加工腔室。
本发明的这些和其它的实施方案以及它的优点和特征将结合下面的文本和附图更详细地被描述。
附图简要说明
图1A示出了一个典型的可以进行本发明方法的半导体晶片加工系统的部分截面示意图;
图1B示出了图1A中的半导体晶片加工系统的一个方框图;
图2A示出了一个有成图案的光刻胶层的半导体基片的简化截面图。
图2B示出了图2A中的半导体基片在硬掩膜和氧化物被剥开之后的简化截面图;
图2C示出了图2B中的半导体基片在光刻胶被剥离之后的简化截面图;
图2D示出了图2C中的半导体基片在于其上蚀刻了沟槽之后的简化截面图;
图2E示出了图2D中的半导体基片在执行了软清洁步骤之后的简化截面图;
图3示出了一个典型的根据本发明的一个实施方案工艺流程图;
图4A示出了在基片中心致密区的沟槽截面的扫描电镜(SEM)概略图;
图4B示出了在基片中心绝缘区的沟槽截面的扫描电镜(SEM)概略图;
图4C示出了在基片边缘致密区的沟槽截面的扫描电镜(SEM)概略图;
图4B示出了在基片边缘绝缘区的沟槽截面的扫描电镜(SEM)概略图;
为进一步理解本发明,有必要参考下面的详细描述。
具体实施方案的说明
I.典型腔室
图1A描绘了一个能够实施本发明方法的典型的半导体晶片加工系统100的概略图。系统100包括一个加工腔室101,一个电源105,一个偏压源106和一个控制器140。加工腔室101包括一个加工空间104,它包括一个圆顶103,一个圆柱形侧壁111和底113。圆顶103通常是由介质材料例如陶瓷或石英做成。侧壁111和底113通常是由金属,例如铝或不锈钢做成。电源105把一射频(RF)信号(如12.56MHz)施加到天线102。天线102在圆顶103附近有多个线圈(turn),且产生RF电磁场,该电磁场可以激发位于空间104内的一种(或多种)工作气体以形成和/或维持等离子体130。
一机械手112,图中以虚线示出,通过一个狭缝阀114把晶片110送入加工腔室101中并从其中取出晶片。在基片加工时,其上可部分形成有集成电路结构的半导体晶片110被基座(静电夹头)107支撑。晶片110被暴露在等离子体中以方便加工。来自等离子体130的离子和电子轰击晶片110。由于电子比离子更易于迁移,故轰击晶片的电子比离子多。结果晶片110获得了一个负偏压。该负偏压加速了来自等离子体的正离子轰击晶片110的速度。基座107和晶片因此通过RF信号(例如,400KHz或13.56MHz)施加一个偏压,该RF信号由偏压源106施加在基座107上。等离子体130中的离子密度主要由施加到天线102上的信号控制,而离子能量主要由施加到基座107上的信号控制。
腔室101内的温度部分由循环流体(如,水基的乙二醇)流过在腔室侧壁111和圆顶103内分立的热交换通道(图中未示出)来控制。基片被蚀刻的温度是通过经基座107内的通道(图中未示出)施加给基片背面的气体和基座内的热交换通道(图中未示出)中的循环流体的共同作用控制的。
用一个加工监控器108来监控加工腔室101内的环境。加工监控器可以是任何传感器,或传感器的组合,以测量依赖于发生在腔室101内的工艺的条件。例如,加工监控器108是一个光发射分光计(OES)。该OES监控来自等离子体130辐射的发射。这种辐射依赖于发生在加工腔室101内的工艺进程。此外,加工监控器108可以包括一个干涉仪以测量高度(elevation),例如晶片110表面上沟槽被蚀刻的深度。这样的干涉仪通过光从沟槽的顶部到底部的折射的干涉来测量沟槽深度。如果加工监控器108是一个OES或干涉仪,腔室101内的辐射通过一个在顶部103的透明的窗孔,例如蓝宝石或石英,被加工监控器接收。此外,一个为此目的的独立的窗口可以设在顶部103或侧壁111上。
加工监控器108和系统100不同的组件可以连接到控制器140上。控制器140包括提供必须的信号以启动,监控,调节和终止发生在腔室101内加工的硬件。例如,腔室101是一个去耦合等离子体源(DPS)蚀刻腔室,由加利福利亚的Applied Materials of Santa Clara制造。腔室101含制造半导体晶片的必需组件。
腔室101的外部通常在环境大气压力下,而腔室101的内部在加工过程中保持负压。一个排气系统109调节腔室101内的压力。气体面板120通过气体管线122和阀门124将工作气体输送到腔室101。在加工过程中,例如蚀刻过程,通过向工作气体施加RF功率而在腔室101中形成等离子体130。RF主电源105给天线102施加电压以使腔室101内等离子体引燃(ignite)并维持等离子体。本领域技术人员将认识到需要多个步骤以在加工腔室内激发等离子体,即,供应工作气体,向天线施加电源功率,向基座施加偏压功率等等。不需要进一步的说明,本领域的普通技术人员都能掌握这些步骤。
本发明的方法可在一个基于处理器系统的控制器例如图1A所示的控制器140所控制的系统中工作。图1B示出了如图1A所示的加工系统100的方框图,,该加工系统有这样一个在该生产中可被采用的系统控制器140。系统控制器140包括一个可程序化的中央处理单元(CPU)160,它可操作计算机可读存储器162,大容量存储装置164,一个输入控制单元166和一个显示单元168。该系统控制器还包括众所周知的配套电路172,例如电源174,计时器176,高速缓存178,输入/输出(I/O)电路180等等。控制器140还包括通过腔室101内的传感器181监控晶片工艺的硬件。这种传感器测量系统参数,例如,晶片温度,腔室气压等等。所有以上组件连接到控制系统总线170上。
存储器162包含CPU160执行以控制加工系统158操作的指令。存储器162中的指令是程序代码的形式,例如执行本发明的方法的程序190。程序代码与任何一种编程语言一致。例如,程序代码可以用C,C++,BASIC,PASCAL或大量的别的语言编写。计算机程序190可包括多个不同的子程序。例如,在一个特定实施方案中,程序190包括一个工艺选择器子程序,一个工艺定序器子程序和一个用于多室系统中每个腔室的腔室管理器子程序。程序190还包括用于控制每个腔室101内的单个组件的子程序,该子程序例如包括基片定位子程序,工作气体控制子程序,压力控制子程序,温度控制子程序和等离子体控制子程序及其它的子程序。本领域的普通技术人员将易于认识到可根据加工腔室101内待进行的加工而可以包括其它的腔室控制子程序。
工艺选择子程序识别(i)所需的加工腔室(如果腔室101是多腔室加工系统的一部分)和(ii)操作加工腔室所需的工艺参数的设定,以执行所需加工。执行具体工艺的工艺参数涉及工艺条件,例如,工作气体成分和流速、温度、压力,等离子体条件,例如RF功率水平和低频RF频率,冷却气体压力,和室壁温度。这些参数以菜单形式提供给用户且可通过使用输入控制界面166输入。
工艺定序器子程序包括用于接收被识别的工艺腔室及来自工艺选择器子程序的工艺参数和用于在多腔室系统中控制不同的加工腔室的操作的程序代码。多个用户可以输入工艺设定编号和加工腔室编号,或者用户可以输入多个工艺设置编号及工艺腔室编号,因此定序器子程序将按所需的序列排列所选择的工艺。优选地,定序器子程序包括程序代码以(i)监控加工腔室的运行以确定该腔室是否被使用,(ii)决定在该被使用的腔室中执行何种工艺,和(iii)基于可用的加工腔室和要执行工艺的类型执行所需的工艺。可使用传统的监控加工腔室的方法,例如轮流检测。当设定执行哪个工艺时,定序器子程序把该正使用的加工腔室的目前状况考虑进来并与用于所选择工艺的所需工艺条件比较,或者每个特定用户输入的要求的“年龄”,或任何系统程序员为决定排列优先性而希望包括进来的其他相关因素。
一旦定序器子程序决定了下一步将使用哪个加工腔室和工艺设定组合,定序器子程序通过把特定的工艺设定参数传输到特定腔室管理器子程序开始执行该工艺设定,该腔室管理器子程序根据定序器子程序确定的工艺设定,在一个特定的加工腔室中控制多种工艺任务。在操作中,腔室管理器子程序按照正在执行的特定工艺设定,选择性地调度或调用加工组件(process component)的子程序。典型地,腔室管理器子程序监控不同的腔室组件,基于待执行工艺设定的工艺参数决定需要操作哪些组件,并响应监控和确定步骤开始执行单个腔室组件子程序。
本领域的普通技术人员将会理解如何执行每个特定腔室组件子程序。例如,基片定位子程序将包括控制腔室组件的程序代码,该组件把基片装到基座107上,且可选择地,为加工基片,可把基座和基片在腔室101内提升到一个所需的位置。
大容量存储设备164存储数据和指令,恢复数据和来自处理器可读存储介质的程序代码指令,该存储介质可以是磁盘或磁带。例如,大容量存储设备164可以是硬盘驱动器、软驱、带驱或光驱。大容量存储设备164存储和恢复指令以响应来自CPU160的指令。大容量存储设备164存储和恢复的数据和程序代码指令被处理器单元160采用以操作加工系统100。数据和程序代码指令先由大容量存储设备164从介质恢复,然后被转移到存储器162以被CPU使用。
输入控制单元166把数据输入设备,例如键盘,鼠标或光笔连到处理器单元160以接收腔室操作员的输入。显示单元168在CPU160的控制下提供图形和字母形式的信息给腔室操作员。
控制系统总线170为连到控制系统总线170上的所有设备提供数据和控制信号的传输。虽然控制系统总线是作为直接连接CPU160中的设备的一单一总线,但控制系统总线170也可是一个总线的集合。例如,显示单元168,输入控制单元166和大容量存储设备164可以连接到输入输出外围总线,而CPU160和存储器162连接到本地处理器总线。本地处理器总线和输入输出外围总线连到一起以形成控制系统总线170。
系统控制器140连到加工系统100的组件上,按照本发明,通过系统总线170和I/O线路180在蚀刻加工中采用。这些组件包括多个阀门182(例如图1A中的阀门124),加工监控器108,排气系统109,电源105,偏压源106,狭缝阀门114,气体控制面板120,机械手112,腔室传感器181和可选混合模块184(图1A中未示出,但可连接到气体控制面板120和腔室101)。
系统控制器140提供信号到不同的腔室组件使这些组件执行所需的操作以在腔室101内执行所需的工艺。
虽然这里描述的本发明是以软件形式执行的,并且是在普通用途的计算机上进行的,但本领域的技术人员将认识到本发明可以用硬件例如应用特定集成电路(ASIC)或其它的硬件电路来执行。这样,可以理解本发明可以整体或部分地用软件,硬件或两者一起来执行。本领域技术人员也可认识到选择一个适当的计算机系统来控制基片加工系统100是一项基本技能。
II.根据本发明的硅加工
本发明的方法可用于在适当的基片加工腔室中,例如上面说明的示例性的腔室中,为不同应用而蚀刻硅。然而,为了说明本发明的目的,下面将结合用于浅沟槽隔离加工的在硅基片上蚀刻沟槽的工艺步骤说明本发明。可应用地,下面说明中的参考数字是指图1A和图1B中的示例性腔室的适当组件。
参考图2A-2E和图3,工艺200(图3)包括在加工腔室101例如硅蚀刻腔室中的基座107上放置一基片50(步骤201)(图2A)。该基片有一氧化物垫层52,一硬掩膜层53,例如,氮化硅层,该氮化物层在氧化物垫层之上,和一有图案的光刻胶层54,该光刻胶层通过众所周知的技术在硬掩膜层之上形成。氧化物垫层通常在基片上形成以为硬掩膜层提供一个好的粘附表面。在一个实施方案中,基片可以包括一介电层,例如,在硬掩膜层53和氧化物垫层52之间的抗反射涂层(没有画出)。
参考图2B,一旦基片被送入腔室,硬掩膜层53被揭开,其下的氧化物层被暴露出来(步骤202)。通常各向异性蚀刻技术被用来除去选择的硬掩膜部分。通过步骤202暴露出来的部分氧化物垫层52被除去以暴露硅基片(步骤204)。根据本发明的一个实施方案,用于去除氮化物和氧化物步骤的工艺菜单,分别在如下的表1和表2中提供。在表1和表2中,Wb代表偏压源106施加的偏压功率,Ws代表电源105所施加的功率,压力代表蚀刻过程中腔室内的压力。在氮化物层揭开(nitride-open)步骤中,终点侦侧被用来确定该步骤的持续时间;例如,该步骤将被执行直到终点侦侧系统发现下面的氧化物层。
工艺菜单
揭开氮化物 | |
压力 | 25毫托 |
WB | 250瓦特(0.8瓦/cm2) |
WS | 700瓦特 |
SF6 | 10sccm |
CHF3 | 100sccm |
时间 | 终点侦侧 |
表1
工艺菜单
揭开氮化物 | |
压力 | 30毫托 |
Wb | 50瓦特(0.16瓦/cm2) |
Ws | 750瓦特 |
CF4 | 80sccm |
Ar | 120sccm |
时间 | 15-25秒 |
表2以上为揭开氮化物层步骤提供的工艺菜单中氮化物层对光刻胶层的选择性比率大约为1.3∶1,其中氮化物层较光刻胶层被去除快1.3倍。例如,对厚度为1500的氮化物层53,要求成图案的光刻胶层的最小厚度为1154。优选地,光刻胶层54有额外的厚度500-900以补偿成小面(faceting)现象。相应地,在一个实施方案中,光刻胶层54开始厚度大约为1650到2150以揭开1500的氮化物层。在氮化物层揭开步骤202之后,保留在硬掩膜上的光刻胶层的厚度大约为500-900。一旦氮化物层被揭开,余下的光刻胶层在某些情形下就不必揭开其下的氧化物层,因为氮化物层可被用来取代光刻胶层。在另一个实施方案中,光刻胶层54开始的厚度大约为2300-3500,优选地为3000以揭开下面的带有光刻胶层的氮化物层和氧化物层。在本发明的具体实施方案中光刻胶层的厚度可以保持最小,这是因为光刻胶层在于硅基片上蚀刻沟槽之前就被剥离。
然而在传统的原位光刻方法中,要求有更厚的光刻胶层,这是因为光刻胶被留在氮化物层上并被用来蚀刻硅基片上的沟槽。典型地,在传统的原位光刻方法中,额外的2000或更多的光刻胶被用来蚀刻深度大约为3000的沟槽。例如,为了在硅基片上形成厚度为3000的沟槽,其中基片上的氮化物和氧化物层的厚度分别为1500和100,传统的方法使用的光刻胶层的厚度为5000或更厚。这种传统的方法随着器件降到0.18μm或更小的尺寸时就特别难于实行,这是因为光刻胶图案的纵横比随器件尺寸减小而增加。最终,传统原位光刻方法将达到它的工艺极限并可能不再被用来制造小器件,例如,0.13μm的器件,这是由于在光刻过程中聚焦深度的限制。
相比较,在本发明的具体实施方案中,原位硬掩膜方法允许如上所述使用3000或更小的光刻胶层。结果,器件尺寸的减少由光刻胶层厚度的降低而补偿,因此,随器件逐渐变小,减慢或防止了光刻胶图案的纵横比的增加。因此,根据本发明原位硬掩膜方法可被可靠地用来加工具有最小特征尺寸为0.18μm,0.13μm甚至更小的器件。
参考图2C,在揭开硬掩膜和氧化物垫层之后,余下的光刻胶层用由氧气激发的等离子体在原位剥离,即,不从硅蚀刻腔室移出基片就被剥离(步骤206)。这是与传统思想相反的。通常,光刻胶在特殊的胶剥离室(下游腔室)中被离位剥离,主要通过暴露光刻胶于活性的自由基而不是直接暴露于等离子体中来进行,这是由于考虑到对基片结构的损伤。因此,工艺工程师通常认为在硅蚀刻腔室内直接把胶暴露在等离子体中原位剥离光刻胶的概念是不切实际的。另一个离位剥离光刻胶的理由是由于一直到近来光刻胶都有相对高的金属杂质含量。因此,如果胶在蚀刻腔室内原位剥离会引起严重的金属污染问题。除此而外,工艺工程师由于考虑到在同一个腔室剥离胶,而这里正是“脏”(dirty)的蚀刻工艺执行的地方,也回避原位胶剥离方法。脏工艺,例如硅蚀刻步骤,产生副产物(SiO),它可能会与胶混合且使胶剥离变得困难,或者引起颗粒控制问题。考虑到这些或那些原因,在传统的STI方法中,光刻胶一直都是在特殊腔室中被离位剥离。例如,在传统的硬掩膜方法中,在基片被插入硅蚀刻腔室前,光刻胶于另外一个腔室中被剥离,而在传统的原位光刻方法中,光刻胶于硅蚀刻步骤之后在另外一个腔室中被剥离。
然而与传统认识相反,本发明者出乎意料地发现了可以在执行硅蚀刻步骤的同一个腔室内执行剥离光刻胶层工艺200。也就是说,在执行硅蚀刻步骤之前原位剥离胶。在工艺200中,由于下面的氮化物层在随后的STI工艺中被除去,所有损伤问题没有多大考虑的意义。污染问题很少值得考虑,因为近来光刻胶的纯度水平已显著提高。最后,对副产物和光刻胶的混合问题的考虑也进行了处理,如下所述。
根据本发明的一个实施方案,通过频繁的清洁步骤,例如每一次装载都进行一次干清洁步骤,硅蚀刻腔室保持基本无副产物或聚合物(SiO2)。而且,相对清洁的硅蚀刻步骤可以合并到步骤200中以减少聚合物在腔室壁上的堆积。一个该步骤的例子包括在工作气体中使用蚀刻剂气体如SF6。可替换地或在以上步骤上额外地在工艺200中并入一个软清洁步骤,以减少聚合物在腔室壁上的堆积且使工艺200容易进行,如后面更详细的解释。如本文所用,术语“基本上无聚合物”是指一种环境,其中堆积在腔室内壁的聚合物的数量不足以威胁工艺200的可靠性。正如本领域的技术人员将会理解的那样,该环境将依被使用的腔室,被执行的工艺等等而变化。
回到步骤206,用于光刻胶剥离的工艺菜单,根据本发明的一个实施方案,示于下面的表3。在表3中,Wb代表由偏压源106施加的偏压功率,Ws代表电源105施加的功率,压力代表蚀刻过程中腔室内的压力。剥离步骤持续了一特定时间段,这段时间包括到达下面的氮化物层53的时间和40%的过蚀刻时间。例如,如果下面的层使用终点侦侧系统在10秒内侦侧到,该工艺再执行4秒以达到过蚀刻的目的。
如下表3提供的工艺菜单是一个示例性的工艺菜单。很多其它的工艺菜单可被用来剥离光刻胶。在一个实施方案中,腔室压力可以在大约2毫托到约100毫托之间,偏压功率可以是大约0瓦特到约100瓦特之间,电源功率可以是大约200瓦特到约2000瓦特之间,氧气流量可以是大约5sccm到500sccm。在另一个实施例中,除了氧气,惰性气体例如氦气,氮化物或氩气或它们的组合可以通入腔室。
工艺菜单
光刻胶剥离 | |
压力 | 10毫托 |
Wx | 20瓦特(0.07瓦特/cm2) |
Ws | 1000瓦特 |
O2 | 50sccm |
时间 | 终点侦侧+40%过蚀刻 |
表3
光刻胶被剥离之后,使用已知的各向异性蚀刻技术(步骤208)原位蚀刻沟槽,如图2D所示。各向异性蚀刻技术包括施加一偏压功率使离子垂直地向基片移动,且向腔室101通入形成聚合物的气体,例如氧气,这样当沟槽被蚀刻时,在沟槽壁上形成一钝化层56。通常,等离子体的偏压功率大约为300瓦特(0.96瓦特/cm2)以加速垂直向基片移动的离子。钝化层通常在沟槽底部拐角58处变细;即,在侧壁上部的钝化层比下部的厚。
执行沟槽蚀刻的工艺菜单使用由氯气和氧气组成的蚀刻剂气体以蚀刻深度大约为3000的沟槽,该菜单如下表4所示。
工艺菜单
沟槽蚀刻 | |
压力 | 35毫托 |
Wb | 300瓦特(0.96瓦特/cm2) |
Ws | 1000瓦特 |
Cl2 | 90sccm |
O2 | 12sccm |
时间 | 28秒 |
表4
各向异性蚀刻工艺步骤208通常被认为是一个脏工艺,这是因为聚合化和离子轰击产生副产物,这些副产物粘附在腔室101的内表面。这些副产物在腔室内集聚并改变腔室的环境,除非它们被有规律地除去。而且,离子轰击损伤基片外表面的晶体结构,产生一个厚度大约为20-100的损伤层(未在图2D中示出),该损伤层改变基片在进行其他过程时的电性能。
本发明者发现了一种保持腔室清洁的方法以拓展工艺运行,该方法在步骤200中并入了一个软清洁步骤(步骤210)。软清洁步骤除去粘附在腔室内表面的副产物而不会显著改变主沟槽的轮廓,即,宽度(开口)、深度和沟槽的斜度。在半导体制造工艺的传统典范中,工程师由于担心改变蚀刻轮廓和损伤基片,故只在从腔室移出基片之后对腔室执行清洁步骤。但是,本发明者出乎意料地发现把软清洁步骤加入到硅蚀刻工艺中有很多意料之外的好处,即,在腔室内执行软清洁步骤。例如,本发明者发现的组合的蚀刻工艺在湿清洁步骤之间比传统的方法允许加工更大量的基片(10000或在某些情况下更多)。而且,在某些情况下,软清洁步骤甚至可以减少对干清洁步骤的需要。
软清洁步骤主要包括化学过程,即,关闭或施加一个最小偏压功率(如,不超过20瓦特或0.06瓦特/cm2)到腔室101以消除或至少最小化进一步的物理蚀刻,该物理蚀刻会产生副产物。由于钝化层56保护沟槽的上部,所以主沟槽轮廓不会被软清洁步骤显著改变。然而,如图2E所示,软清洁步骤使沟槽底部的拐角圆滑,这是由于钝化层在这些拐角变细。使沟槽底部变圆滑改善台阶覆盖(step coverage)和器件性能。软清洁步骤在除去副产物及使底部拐角圆滑的同时,也除去了基片表面的损伤层。
软清洁步骤的化学物质较各向异性蚀刻步骤的化学物质不同。软清洁步骤包括通入包括有一种或多种卤素源,例如SF6,CF4,C2F6,C3F8,C4F8,NF3和CHF3的工作气体。优选地,工作气体也可包括一种增加卤素源分解的气体源(例如,氧源);或一种可改变等离子体分布形式的惰性气体(如氩气),或者这两种气体都有。氧源和类似物质增加卤素源的分解,但也产生副产物。因此,对一种特定的工作气体的最佳量的氧或类似的物质必须是针对该特定工作气体确定的。例如,对一个特定软清洁步骤,其中工作气体基本上由作为卤素源的CF4组成,本发明者已经确定了氧气的气体流量范围是在CF4流速的10%到40%之间。更优选地,氧气的流速大约为CF4流速的20%。一种惰性气体,如氩气可以包括在工作气体中,以便使卤素源更均匀地分布在腔室里。软清洁步骤的最佳持续时间随不同的沟槽蚀刻工艺而改变。例如,对于产生更多的副产物的蚀刻工艺来说,软清洁步骤通常需执行更长时间。
下面的表5提供了根据本发明的一个实施方案,用于软清洁步骤的腔室压力、偏压功率、电源功率等等可接受的范围。
工艺菜单
软清洁步骤 | |
压力 | 2-100毫托 |
Wb | 0-50瓦特(0-0.16瓦特/cm2) |
Ws | 300-1500瓦特 |
CF4 | 10-400sccm |
Ar | 0-400sccm |
O2 | 0-200sccm |
时间 | 5-100秒 |
表5
在一个实验中,上面的工艺200是在由Applied Materials制造的DPS+腔室中进行的,该腔室是为8英寸的晶片装备的,以检查微负载效应和蚀刻速率一致性。目标沟槽深度和轮廓分别是4000和85°。大约400片晶片用工艺200加工。图4A-4D显示了一个有典型沟槽轮廓晶片的SEM照片的概略图。图4A和4B分别显示了在基片中部的致密区和绝缘区的沟槽截面图的SEM照片的概略图。在致密区的沟槽深度和轮廓分别是4160和85°,而绝缘区的沟槽和轮廓分别是4110和83°。蚀刻速率微负载和轮廓微负载分别造成50和2°的变化。另一方面,图4C和4D分别显示了在基片边缘的致密区和绝缘区的沟槽截面的SEM照片的概略图。致密区的沟槽深度和轮廓分别是4050和86°,而绝缘区的沟槽和轮廓分别是4010和84°。基片边缘的蚀刻速率微负载效应和轮廓微负载效应分别造成40和2°的变化。相比较,传统原位光刻方法产生的微负载效应变化是8-10°,蚀刻速率微负载效应变化大约是300或更多。
这里描述的气体流速和RF功率水平是基于在Applied Materials制造的为8英寸晶片装备的DPS+腔室中进行的蚀刻工艺。本领域普通技术人员将认识到这些数值是部分腔室中的具体值,如果用的是其它的设计和/或体积的腔室,这些数值可以改变。
虽然前述的是针对本发明的某些优选的实施方案,但在不偏离本发明范围的情况下,可有其它的和进一步的实施方案。例如,可有这样的替换,在沟槽蚀刻步骤之前采用软清洁步骤。虽然上述的本发明的具体实施例是和浅沟槽隔离结构相联系的,本发明可被用在其它的硅蚀刻工艺中。这些替换和其它的替换及等价变化是包括在本发明范围内的。
Claims (15)
1.一种加工硅基片的方法,该硅基片被置于有电源系统和偏压源系统的基片加工腔室内,所述方法包括:
在所述基片加工腔室内部提供所述基片,该基片上有硬掩膜且硬掩膜之上有成图案的光刻胶层以暴露部分硬掩膜;
蚀刻所述暴露的硬掩膜部分以暴露硬掩膜之下的部分硅基片;
然后,暴露所述成图案的光刻胶于由一第一工作气体形成的第一等离子体中以从硬掩膜上除去光刻胶;
然后,通过暴露所述基片于由第二工作气体形成的第二等离子体中,通过由电源系统施加RF能量并施加偏压使所述等离子体偏向所述基片,在该暴露的硅基片上蚀刻沟槽;以及
把基片转移出基片加工腔室。
2.如权利要求1所述的方法,其中每个沟槽有特定的宽度,深度和斜度,该方法还包含:
不施加偏压功率或施加最小偏压功率,在基片加工腔室中,暴露所述硅基片于一第三等离子体中以除去加工腔室内的副产物,该等离子体是由包含卤素源的第三工作气体形成,其中所述沟槽的宽度,深度和斜度没有被由第三工作气体产生的等离子体显著改变。
3.如权利要求2所述的方法,其中所述暴露硅基片于等离子体中的步骤在成图案的光刻胶被除去之前执行。
4.如权利要求2所述的方法,其中所述暴露硅基片于等离子体中的步骤在基片被蚀刻之后执行。
5.如权利要求1所述的方法,其中所述暴露成图案的光刻胶于等离子体中的步骤包括:
在暴露光刻胶于第一等离子体时保持加工腔室在一低压下;
以不大于500sccm的流速向加工腔室通入氧气;
向加工腔室施加一偏压功率。
6.如权利要求5所述的方法,其中保持所述加工腔室的压力不超过50毫托,氧气通入加工腔室的流速不超过100sccm,施加的偏压功率不超过0.16瓦特/cm2。
7.一种加工硅基片的方法,该硅基片放置在有电源系统和偏压源系统的基片加工腔室内,所述方法包括:
在该基片加工腔室内部提供所述基片,该基片上有硬掩膜,并且在硬掩膜之上有成图案的光刻胶层以暴露部分硬掩膜,其中所述光刻胶和硬掩膜分别有第一厚度和第二厚度,其中所述光刻胶的第一厚度大约不超过所述硬掩膜的第二厚度的两倍;
暴露所述成图案的光刻胶和部分硬掩膜于加工腔室内引燃的第一等离子体中以揭开硬掩膜并限定硬掩膜开口,其中部分成图案的光刻胶被消耗;
然后,暴露所述成图案的光刻胶于所述加工腔室内引燃的第二等离子体中以从硬掩膜上剥离余下的光刻胶,其中余下的光刻胶有一第三厚度;
然后,通过暴露该基片于所述加工腔室内引燃的第三等离子体中,通过从电源系统施加RF能量,并施加偏压使所述等离子体偏向所述基片,在位于硬掩膜开口之下的硅基片上选择的部分蚀刻特定深度的沟槽;以及
把所述基片转移出基片加工腔室。
8.如权利要求1所述的方法,其中每个沟槽有特定的宽度,深度和斜度,该方法还包括:
不施加偏压功率,或施加最小的偏压功率,在基片加工腔室内,暴露所述硅基片于由含卤素源的工作气体引燃的第四等离子体中以除去加工腔室内的副产物,其中所述沟槽的宽度,深度和斜度未被由第三工作气体引燃产生的等离子体显著改变。
9.如权利要求7所述的方法,其中所述光刻胶层的第一厚度大约不超过3000。
10.如权利要求9所述的方法,其中所述硬掩膜的第二厚度不超过1500。
11.如权利要求9所述的方法,其中所述光刻胶层的第一厚度不超过2000。
12.如权利要求9所述的方法,其中所述光刻胶层的第三厚度不超过900。
13.如权利要求9所述的方法,其中蚀刻的所述沟槽的深度大约为3000。
14.一种在硅基片上形成沟槽隔离结构的方法,该硅基片被置于有电源系统和偏压源系统的基片加工腔室内,该方法包括:
把所述基片转移到所述基片加工腔室,该基片上有硬掩膜和硬掩膜上面的成图案的光刻胶;
蚀刻硬掩膜的选择部分以暴露硬掩膜下面的部分硅基片;
然后,通过暴露该光刻胶于所述加工腔室内引燃的等离子体中除去光刻胶;
然后,通过暴露所述基片于由第一蚀刻剂气体形成的等离子体中,通过由电源系统施加RF能量,并施加偏压使该等离子体偏向所述基片来蚀刻暴露的硅基片;
在所述基片加工腔室内引燃由包含一卤素源的第二蚀刻剂气体形成的等离子体,而不施加偏压功率或施加的偏压功率不超过0.16瓦特/cm2,以除去加工腔室内的副产物;以及
把所述基片转移出基片加工腔室。
15.基片加工系统,包括:
一基片加工腔室;
一被配置以向基片加工腔室输送气体的气体分配系统;
一被配置以使基片加工腔室内的气体形成等离子体的等离子体发生系统;
一被配置以向基座施加一偏压功率的偏压功率发生系统;
一被配置以把基片送入和送出基片加工腔室的基片传送系统;
一用来控制气体分配系统,等离子体发生系统,偏压功率发生系统和基片传送系统的控制器;
一连接到控制器上的存储器,该存储器包括计算机可读介质,该介质中包含有计算机可读程序以指示基片加工系统操作,计算机可读程序包括:
(i)控制基片传送系统传送基片进入基片加工腔室的计算机指令,该基片上形成有硬掩膜和位于该硬掩膜之上以暴露部分硬掩膜的成图案的光刻胶;
(ii)控制等离子体发生系统以蚀刻硬掩膜的暴露部分从而暴露硬掩膜之下的基片的计算机指令;
(iii)控制等离子体发生系统以暴露成图案的光刻胶于由一第一工作气体形成的第一等离子体中从而在步骤(ii)之后从硬掩膜上除去光刻胶的计算机指令;
(iv)控制等离子体发生系统和偏压功率发生系统从而在暴露的硅基片上蚀刻沟槽的计算机指令,该蚀刻是通过把基片暴露于由第二工作气体形成的第二等离子体中,通过由电源系统施加RF能量并施加偏压使等离子体偏向基片而进行的;和
(v)控制基片传送系统以把基片送出基片加工腔室的计算机指令。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/637,838 | 2000-08-12 | ||
US09/637,838 US6677242B1 (en) | 2000-08-12 | 2000-08-12 | Integrated shallow trench isolation approach |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1455950A true CN1455950A (zh) | 2003-11-12 |
CN1276477C CN1276477C (zh) | 2006-09-20 |
Family
ID=24557558
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB018154956A Expired - Fee Related CN1276477C (zh) | 2000-08-12 | 2001-08-13 | 集成电路浅沟槽隔离方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US6677242B1 (zh) |
EP (1) | EP1312108A2 (zh) |
JP (1) | JP2004507086A (zh) |
KR (1) | KR100852372B1 (zh) |
CN (1) | CN1276477C (zh) |
TW (1) | TW512462B (zh) |
WO (1) | WO2002015249A2 (zh) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101461072B (zh) * | 2006-05-30 | 2011-03-23 | 朗姆研究公司 | 用于等离子处理系统的最小化掩模的底切及刻痕的方法 |
CN103896205A (zh) * | 2013-08-05 | 2014-07-02 | 中航(重庆)微电子有限公司 | Mems牺牲层刻蚀方法 |
CN105699429A (zh) * | 2016-01-08 | 2016-06-22 | 平高集团有限公司 | 一种微米级半导体传感器及其制备方法 |
CN107068556A (zh) * | 2016-02-04 | 2017-08-18 | 朗姆研究公司 | 原子层蚀刻3D结构:水平和竖直表面上Si和SiGe和Ge平滑度 |
CN107205306A (zh) * | 2017-05-26 | 2017-09-26 | 中国工程物理研究院流体物理研究所 | 基于数学对比法的光纤激光干涉电子密度测量系统及方法 |
US10784086B2 (en) | 2015-04-24 | 2020-09-22 | Lam Research Corporation | Cobalt etch back |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US10998187B2 (en) | 2017-04-19 | 2021-05-04 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
CN113677619A (zh) * | 2019-04-15 | 2021-11-19 | 脸谱科技有限责任公司 | 干法蚀刻中通过飞秒激光修饰衬底以实现可变蚀刻深度 |
CN107464747B (zh) * | 2016-04-29 | 2022-07-12 | 朗姆研究公司 | 使用ale和选择性沉积蚀刻衬底 |
US11450513B2 (en) | 2018-03-30 | 2022-09-20 | Lam Research Corporation | Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials |
Families Citing this family (167)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7169440B2 (en) * | 2002-04-16 | 2007-01-30 | Tokyo Electron Limited | Method for removing photoresist and etch residues |
US7078334B1 (en) * | 2002-06-06 | 2006-07-18 | Cypress Semiconductor Corporation | In situ hard mask approach for self-aligned contact etch |
US7026174B2 (en) * | 2002-09-30 | 2006-04-11 | Lam Research Corporation | Method for reducing wafer arcing |
JP2005310944A (ja) * | 2004-04-20 | 2005-11-04 | Hitachi High-Technologies Corp | ドライエッチング方法 |
US7528051B2 (en) * | 2004-05-14 | 2009-05-05 | Applied Materials, Inc. | Method of inducing stresses in the channel region of a transistor |
CN100565815C (zh) * | 2004-10-08 | 2009-12-02 | 西尔弗布鲁克研究有限公司 | 从蚀刻沟槽中移除聚合物涂层的方法 |
US7309641B2 (en) * | 2004-11-24 | 2007-12-18 | United Microelectronics Corp. | Method for rounding bottom corners of trench and shallow trench isolation process |
JP4745273B2 (ja) * | 2006-09-25 | 2011-08-10 | 株式会社東芝 | 半導体装置の製造方法及び半導体製造装置 |
US8283255B2 (en) * | 2007-05-24 | 2012-10-09 | Lam Research Corporation | In-situ photoresist strip during plasma etching of active hard mask |
US7709396B2 (en) * | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
JP2010245101A (ja) | 2009-04-01 | 2010-10-28 | Hitachi High-Technologies Corp | ドライエッチング方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
KR101429432B1 (ko) * | 2013-04-10 | 2014-08-12 | 주식회사 테스 | 기판처리방법 |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US10396000B2 (en) | 2015-07-01 | 2019-08-27 | International Business Machines Corporation | Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
CN110727390B (zh) * | 2018-07-16 | 2023-07-07 | 深圳大心电子科技有限公司 | 存储器管理方法以及存储控制器 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11114350B2 (en) * | 2019-04-12 | 2021-09-07 | Applied Materials, Inc. | Method for removing photoresist from photomask substrate |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6417430A (en) * | 1987-07-10 | 1989-01-20 | Fujitsu Ltd | Etching method |
JPH0223615A (ja) | 1988-07-12 | 1990-01-25 | Sharp Corp | 半導体装置の製造方法 |
JPH03119724A (ja) * | 1989-09-30 | 1991-05-22 | Toppan Printing Co Ltd | レジストアッシング方法 |
JPH04251926A (ja) * | 1991-01-10 | 1992-09-08 | Fujitsu Ltd | 半導体装置の製造方法 |
EP0511448A1 (en) | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Method and apparatus for in-situ and on-line monitoring of a trench formation process |
JPH10256235A (ja) * | 1997-03-13 | 1998-09-25 | Toshiba Corp | プラズマ処理装置およびアッシング方法 |
US6127278A (en) * | 1997-06-02 | 2000-10-03 | Applied Materials, Inc. | Etch process for forming high aspect ratio trenched in silicon |
US6171970B1 (en) * | 1998-01-27 | 2001-01-09 | Texas Instruments Incorporated | Method for forming high-density integrated circuit capacitors |
US6037265A (en) * | 1998-02-12 | 2000-03-14 | Applied Materials, Inc. | Etchant gas and a method for etching transistor gates |
US6069086A (en) | 1998-04-30 | 2000-05-30 | Applied Materials, Inc. | Non-HBr shallow trench isolation etch process |
US6380096B2 (en) | 1998-07-09 | 2002-04-30 | Applied Materials, Inc. | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
JP4039504B2 (ja) | 1998-11-10 | 2008-01-30 | シャープ株式会社 | 半導体装置の製造方法 |
KR20000044928A (ko) * | 1998-12-30 | 2000-07-15 | 김영환 | 반도체 소자의 트랜치 형성 방법 |
US6218309B1 (en) | 1999-06-30 | 2001-04-17 | Lam Research Corporation | Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features |
US6221772B1 (en) * | 1999-07-14 | 2001-04-24 | United Microelectronics Corp. | Method of cleaning the polymer from within holes on a semiconductor wafer |
US6180533B1 (en) | 1999-08-10 | 2001-01-30 | Applied Materials, Inc. | Method for etching a trench having rounded top corners in a silicon substrate |
US6342428B1 (en) * | 1999-10-04 | 2002-01-29 | Philips Electronics North America Corp. | Method for a consistent shallow trench etch profile |
US6346488B1 (en) * | 2000-06-27 | 2002-02-12 | Lsi Logic Corporation | Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions |
-
2000
- 2000-08-12 US US09/637,838 patent/US6677242B1/en not_active Expired - Fee Related
-
2001
- 2001-08-13 JP JP2002520285A patent/JP2004507086A/ja active Pending
- 2001-08-13 KR KR1020037002070A patent/KR100852372B1/ko not_active IP Right Cessation
- 2001-08-13 TW TW090119821A patent/TW512462B/zh not_active IP Right Cessation
- 2001-08-13 EP EP01963975A patent/EP1312108A2/en not_active Withdrawn
- 2001-08-13 WO PCT/US2001/025391 patent/WO2002015249A2/en not_active Application Discontinuation
- 2001-08-13 CN CNB018154956A patent/CN1276477C/zh not_active Expired - Fee Related
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101461072B (zh) * | 2006-05-30 | 2011-03-23 | 朗姆研究公司 | 用于等离子处理系统的最小化掩模的底切及刻痕的方法 |
CN103896205A (zh) * | 2013-08-05 | 2014-07-02 | 中航(重庆)微电子有限公司 | Mems牺牲层刻蚀方法 |
US10784086B2 (en) | 2015-04-24 | 2020-09-22 | Lam Research Corporation | Cobalt etch back |
CN105699429A (zh) * | 2016-01-08 | 2016-06-22 | 平高集团有限公司 | 一种微米级半导体传感器及其制备方法 |
CN107068556A (zh) * | 2016-02-04 | 2017-08-18 | 朗姆研究公司 | 原子层蚀刻3D结构:水平和竖直表面上Si和SiGe和Ge平滑度 |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
CN107068556B (zh) * | 2016-02-04 | 2021-01-01 | 朗姆研究公司 | 原子层蚀刻3D结构:水平和竖直表面上Si和SiGe和Ge平滑度 |
CN107464747B (zh) * | 2016-04-29 | 2022-07-12 | 朗姆研究公司 | 使用ale和选择性沉积蚀刻衬底 |
US10998187B2 (en) | 2017-04-19 | 2021-05-04 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
CN107205306A (zh) * | 2017-05-26 | 2017-09-26 | 中国工程物理研究院流体物理研究所 | 基于数学对比法的光纤激光干涉电子密度测量系统及方法 |
US11450513B2 (en) | 2018-03-30 | 2022-09-20 | Lam Research Corporation | Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials |
CN113677619A (zh) * | 2019-04-15 | 2021-11-19 | 脸谱科技有限责任公司 | 干法蚀刻中通过飞秒激光修饰衬底以实现可变蚀刻深度 |
Also Published As
Publication number | Publication date |
---|---|
WO2002015249A2 (en) | 2002-02-21 |
JP2004507086A (ja) | 2004-03-04 |
KR20030031152A (ko) | 2003-04-18 |
WO2002015249A3 (en) | 2002-09-12 |
EP1312108A2 (en) | 2003-05-21 |
US6677242B1 (en) | 2004-01-13 |
CN1276477C (zh) | 2006-09-20 |
KR100852372B1 (ko) | 2008-08-18 |
TW512462B (en) | 2002-12-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1276477C (zh) | 集成电路浅沟槽隔离方法 | |
CN100386851C (zh) | 基片加工方法和基片加工系统 | |
US9646844B2 (en) | Method for forming stair-step structures | |
KR100465947B1 (ko) | 불화 가스 및 산소를 함유한 가스 혼합물을 사용하는텅스텐의 플라즈마 공정 | |
US8124540B2 (en) | Hardmask trim method | |
TWI705498B (zh) | 蝕刻介電層中之特徵部的方法 | |
KR100718072B1 (ko) | 기판의 실리콘층에 직통으로 접촉홀을 형성하기 위한 방법 | |
TWI467651B (zh) | 活性硬遮罩電漿蝕刻時之現場光阻剝除 | |
KR102209352B1 (ko) | 텅스텐 에칭의 방법 | |
US8986492B2 (en) | Spacer formation for array double patterning | |
US20040200498A1 (en) | Method and apparatus for cleaning a substrate processing chamber | |
US6784110B2 (en) | Method of etching shaped features on a substrate | |
SG190345A1 (en) | Method for forming stair-step structures | |
US11171012B1 (en) | Method and apparatus for formation of protective sidewall layer for bow reduction | |
TW573326B (en) | Storage poly process without carbon contamination | |
US11417527B2 (en) | Method and device for controlling a thickness of a protective film on a substrate | |
KR20200113170A (ko) | 막을 에칭하는 방법 및 플라즈마 처리 장치 | |
TW201903897A (zh) | 用於半導體處理之矽基沉積 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20060920 Termination date: 20100813 |