JP2021190692A - ボーイングを抑制するための保護側壁層を形成する方法及び装置 - Google Patents

ボーイングを抑制するための保護側壁層を形成する方法及び装置 Download PDF

Info

Publication number
JP2021190692A
JP2021190692A JP2021066417A JP2021066417A JP2021190692A JP 2021190692 A JP2021190692 A JP 2021190692A JP 2021066417 A JP2021066417 A JP 2021066417A JP 2021066417 A JP2021066417 A JP 2021066417A JP 2021190692 A JP2021190692 A JP 2021190692A
Authority
JP
Japan
Prior art keywords
layer
substrate
etching
recess
afs
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021066417A
Other languages
English (en)
Inventor
隆太郎 須田
Ryutaro Suda
圭恵 熊谷
Yoshie Kumagai
幕樹 戸村
Maju Tomura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021190692A publication Critical patent/JP2021190692A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

【課題】エッチング形状の側壁にボーイング作用を生じることなく、または低減した、高アスペクト比(HAR)エッチングを行うことができる方法および装置を提供する。【解決手段】基板をエッチングする方法は、基板をエッチングして凹部の第1の部分を基板に形成する第1工程を含む。凹部の第1の部分は底面及び側壁を含む。方法はまた、側壁内又は側壁上にケイフッ化アンモニウム(AFS)層を形成する第2工程と、次いで、底面をエッチングして凹部の第2の部分を形成する第3工程と、を含む。第3工程は、AFS層による側壁の保護を維持しながら実施される。【選択図】図6

Description

本開示は、半導体製造機器に関し、一般に、基板処理方法及び基板処理装置に関する。より具体的には、本開示は、3D−NANDやDRAM等のデバイスを作製する際に高アスペクト比エッチングを行うシステム及び方法に関し、特に、側壁のエッチング、すなわちボーイングを抑制しながら高アスペクト比エッチングを補助するシステムに関する。
新技術の導入により半導体の作製方法が長期にわたり改善され、集積回路(IC、超小型電子回路、マイクロチップ、又は単に「チップ」)単体の寸法、及びIC上に搭載される各部品の形状寸法の小型化が進んだ。小型化された能動半導体素子及び受動半導体素子、並びに接続部は、半導体基板ウエハ(例えば、シリコン)上に作製される。そのようなICの形成において、ドーピング、イオン注入、エッチング、種々の材料の薄膜堆積、フォトリソグラフィによるパターン形成等の各処理がウエハに施される。個々のマイクロ回路は最後にウエハのダイシングにより分離され、ICとして個別にパッケージングされる。
基板上にICを形成する際に特に使用される処理工程として、原子層堆積(ALD)、化学気相成長(CVD)、原子層エッチング(ALE)等がある。従来の処理では、形成するパターンの深さに応じてエッチング条件を変更する。例えば、従来の処理では、形成するパターンの深さに応じて、チャンバ圧力、高周波電力、処理ガスの流量比を変更する。
下記の特許文献1には、3次元スタック半導体メモリにおいて高アスペクト比(HAR)エッチングを行う従来の手法が記載されている。
また、下記の特許文献2には、基板上の自然酸化膜を除去する処理が記載されている。
米国特許出願公開第2017/0372916号明細書 特開2010−165954号
本開示は、エッチング形状の側壁に「ボーイング」作用を生じることなく、または低減した、高アスペクト比(HAR)エッチングを行うことができる方法および装置を提供する。
一実施形態によれば、基板処理装置は、基板をエッチングする方法を実施する。本方法は、基板をエッチングしてエッチング形状として凹部の第1の部分を基板に形成する第1工程を含む。凹部の第1の部分は底面及び側壁を含む。本方法はまた、側壁内又は側壁上にケイフッ化アンモニウム(AFS: ammonium fluorosilicate)層を形成する第2工程と、次いで、底面をエッチングして凹部の第2の部分を形成する第3工程とを含む。第3工程は、AFS層による側壁の保護を維持しながら実施される。
上記段落は概略を示すものであり、添付の特許請求の範囲を限定するものではない。本明細書に記載の実施形態及びその効果は、以下の詳細な説明を添付の図面に照らし参照すれば最もよく理解されるであろう。
半導体装置の高アスペクト比凹部の側壁に生じたボーイングを示す図である。 本開示に係る基板処理装置(SA: substrate processing apparatus)、すなわちプラズマ処理(エッチング)システムの一例を示す図である。 本開示に係る誘導結合プラズマ(ICP: inductively coupled plasma)装置の一例を示す図である。 本開示の第1の実施形態に即して実施される連続処理工程による基板の変化を例示した一連の図である。 本開示の第2の実施形態に即して実施される連続処理工程による基板の変化を例示した一連の図である。 本開示の教示に即して実施される処理フローの一例のフローチャートである。 本明細書に記載の動作をコンピュータ上で実施する制御回路のブロック図である。
添付の図面に関連して以下に記載する説明は、本開示の主題の様々な実施形態を説明するものであり、必ずしも記載の実施形態に限定するものではない。例示においては、本開示の主題の理解を促す目的で、具体的な詳細を説明している。しかし、各実施形態は、こうした具体的な詳細なしに実施することができることが当業者には明らかである。いくつかの例示においては、本開示の主題の概念が曖昧にならないように、公知の構造及び構成要素をブロック図の形で示すことがある。
本明細書全体を通して、「一実施形態」又は「実施形態」とは、実施形態に関して説明する特定の特徴、構造、特性、動作、又は機能が、本開示の主題の少なくとも一つの実施形態に含まれることを意味する。したがって、本明細書において「一実施形態では」又は「実施形態では」という文言は、必ずしも同じ実施形態を指すものではない。更に、特定の特徴、構造、特性、動作、又は機能は、一つ以上の実施形態に、いかなる形にも適切に組み合わせることができる。更に、本開示の主題の実施形態は、記載の実施形態の改変例及び変形例を包含し得る。
本明細書及び添付の特許請求の範囲において、単数形「一つの」及び「その」は、別段の明白な記載がない限り、複数形を含むものである。すなわち、別段の明白な指示がない限り、本明細書では、「一つの」等の文言は「一つ以上の」を意味する。更に、「左」、「右」、「上部」、「底部」、「前」、「後」、「側方」、「高さ」、「長さ」、「幅」、「上方」、「下方」、「内部」、「外部」、「内側」、「外側」等の用語は、本明細書では、基準点を単に示すものにすぎず、必ずしも本開示の主題の実施形態を特定の向き又は構成に限定するものではない。更に、「第1の」、「第2の」、「第3の」等の用語は、本明細書に記載の部分、構成要素、基準点、動作、及び/又は機能等の数を単に特定するものにすぎず、必ずしも本開示の主題の実施形態を特定の構成又は向きに限定するものではない。
本発明者らは、エッチング形状の側壁に「ボーイング」作用を生じることなく、高アスペクト比(HAR)エッチングを行うことは、スタック構造体の寸法が増大するにつれて次第に困難になることを認識していた。本開示全体を通して、「凹部」をエッチング形状の一種として使用しており、用語「凹部」と「エッチング形状」とは、本明細書において互いに置き換え可能に使用される。例えば、3D−NANDでは、交互に成膜された層(多くの場合、交互に積層されたSiO層とSiN層)によりスタック構造体が形成される。このスタックは、高層ビルに幾分似ており、多数の階を含み、各階に多数のメモリセルが形成されている。セル間のチャネルを画定するために深掘エッチングが必要であり、スタック内の全層に対してホールサイズが均一となるようにエッチングすることが理想である。エッチングが適切に行われないと、特定のホールについて均一性に欠け、図1のスタック202の領域R1に示すように、ボーイングを含め多様な形状となる。ボーイングは、特にスタック202の上側部分における側壁の過剰エッチングの結果生じる。ボーイングは、長時間エッチングに曝されて肩落ちしたマスクの縁部からイオンが散乱した結果生じることもある。高アスペクト比エッチングでは、イオンは直線的に進むが、一部のイオンはマスクの肩落ちした縁部で跳ね返り、領域R1の側壁に送られる。更に、図1に示すように、基板201上に形成されたスタック202の上には、マスク(非晶質炭素層)203が配置される。レジストマスクの肩落ちした角度により、スタック202の側壁の上側部分に過剰エッチングが生じ、したがって開口寸法(ホールの直径)にボーイングが生じ、ホールの深さ全体に沿った開口寸法が不均一となる。メモリセルの耐久性及び性能を維持できるように、こうしたボーイングは回避すべきである。
本開示の例示的実施形態による基板処理装置SAの構成について、図2を参照しながら説明する。基板処理装置SAは、搬送モジュールTM(処理モジュールPMxよりも低い圧力で動作)を含む。搬送モジュールTMは、プラズマエッチングモジュールPM1、PM2、PM3、PM4間でウエハWを搬送する搬送ロボットモジュールTMRを含む。搬送モジュールTMは、ロードロック室LL1、LL2と連結された真空搬送室を有する。プラズマエッチングモジュールPM1、PM2、PM3、PM4は、搬送モジュールTMに接続され、ロードロック室LL1、LL2から仕切られている。4台のプラズマエッチングモジュール(PM1〜PM4)が示されているが、他の構成を同様に採用してもよい。例えば、プラズマエッチングモジュールの1台以上を、ストッカ/チェンジャに代えてもよい。ストッカ/チェンジャは、ウエハや、交換部分を上部に含む静電チャック等の器具の保管場所として使用される。ストッカは、プラズマエッチングモジュールPM1、PM2、PM3、PM4が占める位置のいずれに配置してもよく、又は、各反応チャンバのTMから離れた他方の面に配置してもよい。
各プラズマエッチングモジュールPM1〜PM4は、ICP装置として構成されてもよいが、これらのプラズマエッチングモジュールのうち、1台以上が容量結合プラズマ(CCP: capacitively coupled plasma)装置等の他の反応チャンバであってもよい。本明細書で説明する基板処理は、プラズマエッチングモジュールPM1、PM2、PM3、PM4のいずれか1台で実施することができる。しかし、代替例として、プラズマエッチングモジュールPM1、PM2、PM3、PM4を協同製造プロセスで使用してもよく、このプロセスでは、例えば、PM1を用いてケイフッ化アンモニウム(AFS)層を形成し、PM2でエッチングを実施し、PM3でAFSを除去する。PM3は制御可能な加熱室として機能する。更なる代替例として、AFSの形成をPM1とPM2とで分割し、PM1でSiO層を形成し、PM2でSiOを出発物質としてAFSを形成する。その後、PM3でエッチングを実施してもよく、PM4で加熱により残留AFSを除去する。上記は単なる例示にすぎず、プロセスが複数のPMにわたり分散している場合には、搬送ロボットモジュールTMRを制御器MCによって制御して、特定のウエハをPM間で移動させてもよく、上記の例の他、あらゆる組合せが可能である。或いは、全ての工程を単一のPM内で実施しても、又はそれらを複合的に組み合わせてもよい。
ロードロック室LL1、LL2により、搬送モジュールTMとローダモジュールLMとの間の環境を区画化することができる。ローダモジュールLMは、キャリアが載置されるキャリア載置台を有する。キャリアは、例えば、25枚のウエハWを保持し、基板処理装置SAに出し入れされる際に、ローダモジュールLMの前面に配置される。ローダロボットモジュールLMRは、キャリア載置台とロードロック室LL1、LL2との間でウエハを搬送する。キャリアは、それぞれのロードポートLP1、LP2、LP3、LP4(本例では複数のLPの場合)で交換される。
制御器MCは、本例ではマイクロコントローラであるが、図7で説明するようなコンピュータ(ローカル専用コンピュータ、又は分散型コンピュータ)を、本明細書で説明する制御動作を実施するようにコンピュータコードにより構成された制御器回路の代わりに使用してもよい。更に、制御器MCは、本明細書に記載の処理工程に即した処理レシピの実行を含め、基板処理装置SAの動作を制御する。
図3は、ゲートバルブ(図示せず)を介して搬送モジュールに結合するプラズマ処理モジュールPM、例えば誘導結合プラズマ(ICP)システムを概略的に示す。ICPシステムを一例として示しているが、容量結合プラズマ(CCP)装置等の他のプラズマ処理モジュールPMを同様に用いてもよい。この装置は、反応チャンバ11と、誘電体(例:石英)窓13と、下部電極14とを含む。誘導素子(コイル)20が誘電体窓13の上方に配置される。高周波電源16、17から高周波電力が誘導素子20及び下部電極14にそれぞれ供給される。この電力結合は、高周波電源16と高周波電源17とで高周波の周波数を変えることを含んでもよい。下部電極14は、ウエハWを支持し保持するように構成された静電チャック(ESC)15を含む。ガス源18が反応チャンバ11に接続され、各種処理ガス(後述)を反応チャンバ11内に供給するように構成されている。ターボ分子ポンプ(TMP)等の排気装置19が反応チャンバ11に接続され、反応チャンバ11を制御可能に排気するように構成されている。高周波電力がコイル20及び下部電極14の少なくとも一方に供給されると、誘電体窓13と下部電極14との間のウエハW付近にプラズマ12が形成される。
一実施形態では、プラズマ処理モジュールPMは、制御器MC(図2)と排他的に又は協調して動作する専用の制御回路(例:マイクロコントローラ又は図7に示すコンピュータ等)を有してもよい。制御器MCは、メモリに記憶された制御プログラムを実行し、この記憶装置に記憶されたレシピデータに基づいてプラズマ処理モジュールPMの各部を制御する。
図4A〜4Eは、半導体基板に対して実施される処理工程の進行を図示し、これらの工程では、ボーイングを低減し、且つ深掘エッチング部全体にわたり均一な開口寸法を維持しながら、スタック内に深掘エッチング部を信頼性高く形成することができる。図4Aは、エッチング層101(例:炭素含有層)を含む基板の図であり、エッチング層101の上にはマスク層102が形成される。マスク層102には、開口領域(開口部)OPが形成され、この領域内において凹部、すなわちエッチング形状が高アスペクト比でエッチング層101にエッチングされることになる。
図4Bは、エッチング層101に対して第1のエッチングを実施した後の基板の図である。エッチングの結果、凹部の上側部分(又は第1の部分)が形成される。エッチングは、例えば、O、CO、又はCOガスから生成された酸素プラズマを用いて実施してもよい。図4Aと図4Bとでマスク層102の高さに差があることから、マスク層102の一部も除去されていることが分かる。また、マスク層102の開口部OPにおいて、エッチング層101が大きく除去されている。
図4Cは、露出したマスク表面、側壁、及び凹部の底部にケイフッ化アンモニウム(AFS)層である(NHSiFを形成する2段階処理の第1工程を実施した基板の図である。図4Cにおいて、シリコン酸化物であるSiOが、CVD法又は原子層堆積類似(ALD類似)法により形成される。CVD法の一例では、SiCl等の成膜ガスを用いてプラズマを生成することで形成する。ALD類似法の一例は、(1)基板をSi含有前駆体に曝すこと、(2)Si含有前駆体を酸化させることによりSi含有前駆体をSiO薄層103に変化させること、及び(3)SiO層103の特定の箇所がある厚さになるまで(1)と(2)を繰り返すことを含む。本実施形態では、ALD法により、マスク層102上、並びに凹部の側壁及び底部上にコンフォーマルなSiO層103を形成する。後述のように、AFS層(図4D)は、コンフォーマル層又はサブコンフォーマル層として形成することができる。
AFS層104(図4D)を形成する第2の工程では、SiO層103をAFS層104に変化させ、この工程は、NH/NF、H/N、又はSF/H/NガスからNHプラズマを生成し、次いでSiO層をこのプラズマに曝してAFS層104を形成することを含む。AFS層104は、エッチング層101を更にエッチングして凹部を深め続ける間、側壁の上側部分が更にエッチングされないように保護する側壁保護層、すなわち保護膜として機能する。AFS層104の厚さは、基板の温度に関係する。AFS層104が薄くなりすぎるのを避けるために、基板温度は典型的には50℃に維持されるが、AFSの昇華が起こり始める100℃を超えない限り、それよりも高い温度であってもよい。なお、SiO層にAFS層104を形成する際にプラズマが用いられるが、このプラズマは、反応チャンバ11内に供給されたガスから生成されてもよいし、反応チャンバ11の外部(いわゆるリモートプラズマ)で生成され反応チャンバ11内に供給されてもよい。
図4Eは、引き続きエッチングした後の基板の図である。図示のように、AFS層104の平坦部分が除去され、マスク層102がエッチングにより減少している。更に、凹部の深さは、エッチングの結果(すなわち、図4Bの初期エッチングで形成されたエッチング形状の第1の部分の底面をエッチングして凹部の第2の部分を形成することにより)大幅に増大しているが、側壁の上側部分(第1の部分)にAFS(又は保護層)が存在するため、側壁の上側部分はボーイングから保護されている。更に、AFS層104は、エッチング処理中、開口部OPにおける粒子のイオン衝突の影響をほぼ受けない。AFSは昇華性が高く、したがって高度に電子イオン化したイオンが衝突すると、AFSは容易に除去される。イオンは、垂直(90度)に近い角度で底面に衝突するが、側壁には鋭角で衝突する。したがって、底面上のAFSは容易に除去されるが、側壁上のAFSは残りやすく、これはイオンが側壁よりも底面に対してより高いエネルギーを与えるからである。
一方、AFSはラジカル耐性が高く、したがって側壁の保護として機能する。深掘エッチングが完了すると、AFS層104の側壁上に残留する部分を昇華させるために、反応容器内の温度を100℃よりも高く設定する。
AFS層104は、本実施形態ではAFS層として説明するが、AFS層104は、より一般的には、凹部の底部を縦方向エッチングにより除去する間、側壁を横方向エッチング(及びそれに伴うボーイング)から保護する保護層であることを理解されたい。より一般的には、保護層104は、AFS、又は他の材料から形成されてもよく、プラズマを使用せずに設けることもできる。例えば、代替実施形態では、保護層104は、AFS製または他の材料製にかかわらず、エッチング層101をHFやNH等のガスに曝すことによって形成される。この代替実施形態では、SiO層103(例:SiO層)をN、F、及びHを含むガス種によってエッチングし、その結果、例えばAFS製の保護層104が側壁上に形成される。或いは、例えばSiN含有層、又はSiO/SiNの多層構造体をF及びHを含む種によってエッチングする場合、エッチング中に、例えばAFS製の保護層が形成される。
保護層104に関して、SiO含有層(図4A〜4Eのように層が個別に形成される場合でも、図5A〜5Dに関して後述するようにエッチング層自体がSiOである場合でも)がN、F、H等の反応性種に曝される条件下では、AFSを含む保護層に加えて、別の組成の保護層が形成されてもよい。別の組成としては、例えば、N及びSi;H及びSi;N、F及びSi;N、H、F及びSiが含まれ得る。SiO層がマスク上にも形成され(例:図4A〜4Eの実施形態)、且つこのSiO層がN、F、及びHの反応性種に曝される条件下では、AFS層をマスク上に形成することができ、この層は後続のエッチング中にマスクの保護層として機能し得る。
図5A〜5Dは、第2の実施形態に即して一連の処理工程が基板に対して実施される際の基板の進行状態を示す。第1の実施形態ではSiO以外の材料で形成されたエッチング層を対象としていたが、第2の実施形態では、SiOのエッチング層を対象とする。第2の実施形態において示す例では、サブコンフォーマルAFS(後述)を形成するが、両実施形態とも、コンフォーマルAFS又はサブコンフォーマルAFSを形成できることを理解されたい。第1の実施形態及び第2の実施形態においてサブコンフォーマルAFSを形成する例示的なプロセスについては、第2の実施形態に関する図5A〜5Dの以下の説明の後に述べる。
図5Aは、エッチング層101(例:SiO層)を含む基板の図であり、エッチング層101の上にはマスク層102が形成される。マスク層102には、開口部OPが形成され、この領域内においてエッチング形状(例:ホール又は凹部)が高アスペクト比でエッチングされることになる。マスク層102を形成するために使用される材料の例としては、炭素、金属、ポリシリコン、及び本実施形態のエッチング層101であるSiOに対して十分なエッチング選択性を有する他の材料が挙げられる。
図5Bは、エッチング層101、すなわちSiOに対して初期エッチング(凹部の第1の部分)を実施した後の基板の図である。エッチングは、例えば、C(フルオロカーボン)ガスから生成されたC(フルオロカーボン)プラズマを用いて実施してもよい。図5Aと図5Bとでマスク層102の高さに差があることから、マスク層102の一部が除去されていることが分かる。また、開口部OPにおいて、エッチング層101が大きく除去されて凹部の第2の部分が形成されている。
図5Cは、エッチング形状(本実施形態では凹部)の側壁表面上にAFS層110が形成された基板の図である。AFS層110は、NH/NF、H/N、又はSF/H/Nガスからプラズマ(活性種)を生成することにより、凹部の側壁面上に形成される。なお、エッチング層はSiO製であり、したがってAFS層110は主にSiOの側壁表面上に形成される。AFSサブコンフォーマル層110は、後続の凹部エッチング時の側壁保護層又は保護膜として機能する。
図5Dは、引き続き基板をエッチングして凹部の底部(第2の部分)を形成した後の基板の図である。図示のように、マスク層102の平坦部分がエッチングにより減少している。更に、凹部の深さは、エッチングの結果大幅に増大しているが、側壁上にAFS膜110が存在するため、側壁の上側部分はボーイングから保護されており、この部分は、エッチング処理中、開口部OPにおける粒子のイオン衝突の影響をほぼ受けない。上述のように、底面のAFSは容易に除去できるが、側壁のAFSは、高エネルギーイオンが底面には直接衝突しても、側壁については単にかすめる程度という幾何的利点のため、側壁上に残りやすい。
一方、AFSはラジカル耐性が高く、したがって側壁の保護として機能する。深掘エッチング(AFS層が形成された後に1回以上のエッチングが実施され得る)が完了すると、AFS層110の側壁上に残留する部分を昇華させるために、反応容器内の温度を100℃よりも高く設定してこの構造体を熱アニールする。なお、第1及び第2の実施形態に関して、AFS形成工程と、その後のエッチング層101のエッチング工程とは複数回繰り返してもよい。
凹部の側壁上にサブコンフォーマルAFSを形成することに関して、以下に2つの例を示す。第1の例は第1の実施形態(エッチング層がSiO以外)に適用可能であり、第2の例は第1の実施形態(エッチング層がSiO以外)及び第2の実施形態(エッチング層がSiOを含む)に適用可能である。
第1の実施形態に適用されるサブコンフォーマルAFS形成の第1の例として、まず、サブコンフォーマルSiO層を形成する工程を実施する。この工程は、(i)Si含有前駆体を吸着させる領域(本例では凹部の上面及び側壁)を調節し、凹部の底部が厚くなりすぎないように所望の厚さに達するまでこの吸着領域を酸素プラズマに曝すこと、又は(ii)全表面にSi前駆体を吸着させ、酸素プラズマに曝す時間を(i)よりも短縮することによって行うことができる。(i)及び(ii)の効果は、マスクの側壁(及び上面)上にSiOを優先的に形成し、凹部の底部ではSiOがほぼ、又は全く形成されないようにすることである。処理(i)又は処理(ii)のいずれが実行されるかにかかわらず、先に延べたように、SiOをNHプラズマに曝すことにより、サブコンフォーマルSiO層をサブコンフォーマルAFSに変化させる。
第1の実施形態又は第2の実施形態のいずれかに適用されるサブコンフォーマルAFS形成の第2の例として、この処理は、SiOをより短時間の間NHプラズマに曝すように制御することを含む。より短時間の間NHに曝す例としては、(i)凹部の底面上のSiOがAFSに変化する前にSiO層をNHプラズマに曝すことを停止すること、(ii)側壁及び/又は底面上のSiO層が全てAFSに変化しないようにSiO層にNHプラズマを供給することが挙げられる。
エッチング層101のエッチング処理条件例
Figure 2021190692
第1工程(実施形態1におけるSiO 層形成)の処理条件例
Figure 2021190692
SiO をAFSに変化させる処理条件例
Figure 2021190692
図6は、本開示に即して実施される、少なくとも凹部の側壁に形成されたAFS保護層を用いた高アスペクト比エッチング処理を実施するフローチャートである。本処理は、第1の実施形態又は第2の実施形態のいずれかに即して実施される。図6に示す処理に先立ち、エッチング層と、凹部形成用の開口部OPを有するマスク層とを有する基板を得る。この初期準備の結果、図4A又は図5Aに示すような構造体が得られる。本処理は、図4B及び図5Bに示すような構造体が得られるように、開口部OP内において部分エッチングを行う工程S1から始まる。その結果、凹部の上側(又は第1の)部分が形成される。その後、処理は工程S2に進み、ここで上述のように、凹部の第1の部分の少なくとも側壁上にコンフォーマルAFS又はサブコンフォーマルAFSを形成する。その結果図4D(コンフォーマル)及び図5C(サブコンフォーマル)に示すような構造体が得られる。AFSが形成されると、処理は工程S3に進み、ここで更にエッチングを行い、凹部の第2の部分(下側部分)を形成する(図4E及び図5D参照)。過剰エッチングにより側壁にボーイングが生じないよう保護するAFSが凹部側壁上にあるため、凹部の開口寸法は保持される。上記ボーイングは、従来の高アスペクト比エッチング処理に伴う課題である。
その後、工程S4において、エッチング工程を引き続き実施する前に、側壁を更に保護するためにAFSを追加形成する必要があるかに関する判定がなされる。後続のエッチング工程中、側壁上に残留して側壁を保護するAFSの量が不十分である場合には、処理は工程S2に戻り、AFSが側壁上に追加で形成される。しかし、工程S4において、AFSの量が最終深さに達するまでの更なるエッチング中に側壁を保護するのに十分であると判定された場合には、処理は工程S5に進み、最終深さに達するまでエッチングを継続する。その後、AFSが残留する場合には、反応チャンバを100℃超まで加熱してAFSを昇華させることにより除去してもよい。この判定は、エッチング工程の回数がレシピに記録されるコンピュータベースの処理によって制御することができる。この処理は、現在繰り返されているエッチング工程について、追加のAFS形成が不要であるかを判定できるように、エッチング工程の回数を把握する制御器MCにより行われる。例えば、高アスペクト比の凹部を形成するために合計6回のエッチング工程が必要な場合、制御器MCは、エッチング工程の回数を把握しているので、(例えば)残りの1回、2回、又は3回のエッチング工程について、ホールの側壁上にAFSを更に補充することなく実施できることを判断することができる。
図7は、本明細書に記載の動作をコンピュータ上で実施する処理回路のブロック図であり、特に制御器MC(図2)に関して示す。図7は、フローチャートの全ての制御処理(処理レシピ等)、記載、又はブロックをコンピュータ上で制御する処理回路130を例示する。これらの制御処理、記載、又はブロックは、モジュール、セグメント、又は処理の特定の論理機能又はステップを実施するための実行可能な一つ以上の命令を含むコードの一部を表すものである。代替的な実施例が本開示の例示的実施形態の範囲内に含まれ、その機能は、関与する機能に応じて、略同時、又は逆の順序等、図示又は記載の順序とは異なる順序で実行することができることが当業者には理解されるであろう。本明細書に記載の様々な要素、特徴、及び処理は互いに独立に使用しても、様々な形で組み合わせてもよい。考えられ得るあらゆる組合せ及び下位組合せが、本開示の範囲に含まれる。
図7では、処理回路130は、上述/後述の一つ以上の制御処理を実施するCPU1200を含む。処理データ及び命令は、メモリ1202に格納することができる。これらの処理データ及び命令は、ハードディスクドライブ(HDD: hard disk drive)や可搬記憶媒体等の記憶媒体ディスク1204に格納しても、遠隔で格納してもよい。更に、請求の範囲に記載する本開示は、本開示による処理の命令が格納されるコンピュータ可読媒体の形態によって限定されるものではない。例えば、これらの命令は、CD、DVD、フラッシュメモリ、RAM、ROM、PROM、EPROM、EEPROM、ハードディスク、又は処理回路130が通信するサーバやコンピュータ等の任意の他の情報処理装置に格納してもよい。
更に、特許請求の範囲に記載する本開示は、ユーティリティアプリケーション、バックグラウンドデーモン、オペレーティングシステムの構成要素、又はそれらの組合せとして提供されてもよく、CPU1200及びマイクロソフトウィンドウズ(登録商標)、UNIX(登録商標)、Solaris(登録商標)、LINUX(登録商標)、Apple MAC-OS等の当業者に既知のオペレーティングシステムと連動して実行されてもよい。
処理回路130を構築するハードウェア要素は、様々な回路要素によって実現することができる。更に、上述の実施形態の各機能は、一つ以上の処理回路を含む回路によって実施することができる。図7に示すように、処理回路は、特定のプログラム化処理装置、例えば処理装置(CPU)1200を含む。処理回路はまた、特定用途向け回路(ASIC: application specific integrated circuit)や記載の機能を実施するように構成された従来の回路部品等のデバイスを含む。
図7では、処理回路130は上述の処理を実施するCPU1200を含む。処理回路130は、汎用コンピュータ又は特定の専用機でもよい。一実施形態では、処理装置1200は、反応チャンバを外部雰囲気に曝すことなくESCを交換するように電圧及びロボットアームを制御する。処理装置1200がESCをその場で交換するようにプログラミングされている場合、処理回路130は、特定の専用機として機能する。
或いは又は更に、CPU1200は、当業者には理解されるように、FPGA、ASIC、PLD上で実施してもよく、又は離散した論理回路を用いてもよい。更に、CPU1200は、上述の本発明の処理の命令を並行して実施するように協働する複数の処理装置として実施してもよい。
図7の処理回路130はまた、ネットワーク1228とインターフェース接続するためのネットワーク制御器1206、例えば米国インテル株式会社のIntel Ethernet PROネットワークインターフェースカード等を含む。ネットワーク1228は、理解可能なように、インターネット等の公的ネットワーク、LANやWAN等の私的ネットワーク、又はそれらの任意の組合せでよく、また、PSTNやISDN等の下位ネットワークを含んでもよい。ネットワーク1228はまた、イーサネット(登録商標)ネットワーク等のような有線でも、EDGE、3G、4G無線セルラシステムを含むセルラネットワーク等の無線でもよい。無線ネットワークはまた、Wi-Fi(登録商標)、Bluetooth(登録商標)、又は他の任意の既知の無線通信形態でもよい。
処理回路130は、モニタ等の表示装置1210とインターフェース接続するためのグラフィックカードやグラフィックアダプタ等の表示装置制御器1208を更に含む。汎用I/Oインターフェース1212が、キーボード及び/又はマウス1214、並びに表示装置1210と一体、又は別体のタッチパネル1216とインターフェース接続されている。汎用I/Oインターフェースはまた、プリンタ及びスキャナ等の様々な周辺機器1218に接続されている。
汎用記憶装置制御器1224は、ISA、EISA、VESA、PCI等の通信バス1226を介して記憶媒体ディスク1204に接続され、処理回路130の全ての構成要素が互いに接続されている。表示装置1210、キーボード及び/又はマウス1214、並びに表示装置制御器1208、記憶装置制御器1224、ネットワーク制御器1206、音声制御器1220、及び汎用I/Oインターフェース1212の一般的な特徴及び機能については、本明細書では簡略化のために既知のものとして説明を省略する。
本開示で記載する例示的な回路要素は、他の要素と置換え可能であり、本明細書に記載の例と異なる構造を有してもよい。更に、本明細書に記載の特徴を実施するように構成された回路は、複数の回路単位(例えば、チップ)で実施しても、又はこれらの特徴を単一のチップセットの回路として組み合わせてもよい。
本明細書に記載の機能及び特徴はまた、システム上で分散配置された様々な構成要素によって実行してもよい。例えば、一つ以上の処理装置がこれらの機能を実行してもよく、その場合、処理装置はネットワークで通信している複数の構成要素にわたって分散して配置される。分散配置された構成要素として、様々なヒューマンインターフェース及び通信装置(表示モニタ、スマートフォン、タブレット、個人情報端末(PDA: personal digital assistant)等)に加えて、処理を共有できる一つ以上のクライアント機及びサーバ機が含まれ得る。ネットワークは、LANやWAN等の私的ネットワークでも、インターネット等の公的ネットワークでもよい。システムへの入力は、ユーザによる直接入力によって受け付けても、実時間又はバッチ処理として遠隔に受け付けてもよい。更に、実施例の一部を上述のものと同一ではないモジュール又はハードウェア上で実施してもよい。したがって、他の実施例も特許請求の範囲に含まれる。
本開示の主題の実施形態について説明してきたが、上記は単なる例示にすぎず、限定ではなく、例によって示されたものにすぎないことが当業者には理解されるであろう。したがって、本明細書では特定の構成について述べてきたが、他の構成も採用可能である。数多くの改変例及び他の実施形態(例えば、組合せ、配置変更等)が本開示から可能であり、それらは当業者の範囲に含まれ、本開示の主題及びそのいかなる等価物の範囲にも包含されることが企図される。本開示の実施形態の特徴を本発明の範囲内で組合せ、配置変更し、又は省略する等によって更なる実施形態を得ることができる。更に、有利には、特定の特徴のみを使用してもよく、他の特徴を対応させて使用する必要はない。したがって、本出願人は、本開示の主題の精神及び範囲内に含まれるかかる代替例、改変例、等価物、及び変形例を全て包括することを意図する。
LLx…ロードロック室x、LM…ローダモジュール、LMR…ローダロボットモジュール、LPx…ロードポートx、MC…制御器(マイクロコントローラ、ローカル又はリモートコンピュータ)、PMx…処理モジュールx、R1…領域、SA…基板処理装置、TM…搬送モジュール、TMR(TR)…搬送ロボットモジュール、W…ウエハ、11…反応チャンバ、12:プラズマ、13…誘電体窓、14…下部電極、15…静電チャック、16,17…高周波電源、18…ガス源、19…排気装置、20…誘導素子(コイル)、101…エッチング層、102,203…マスク層、103…SiO層、104,110…AFS層(膜)

Claims (21)

  1. 基板をエッチングする方法であって、
    前記基板をエッチングして凹部の第1の部分を前記基板に形成する工程であって、前記凹部の前記第1の部分は底面及び側壁を含む、第1工程と、
    前記側壁内又は前記側壁上にケイフッ化アンモニウム(AFS)層を形成する第2工程と、
    前記第2工程後、前記側壁を前記AFS層で保護しながら、前記底面をエッチングして前記凹部の第2の部分を形成する第3工程と
    を含む、方法。
  2. 前記第2工程及び前記第3工程は、100℃未満の温度で実施される、請求項1に記載の方法。
  3. 前記第2工程は、前記凹部の前記第1の部分の前記側壁の表面上に前記AFS層を形成する工程を含む、請求項1に記載の方法。
  4. 前記第2工程は、
    前記側壁の前記表面をSi含有前駆体に曝して、前記側壁の前記表面上に前駆体層を形成する工程と、
    前記前駆体層を酸素プラズマに曝して前記前駆体層を酸化させ、SiO層を形成する工程と、
    前記SiO層をN種、F種、及びH種を含有するプラズマに曝す工程と
    を更に含む、請求項3に記載の方法。
  5. 前記第2工程は、前記凹部の前記第1の部分の前記側壁内に前記AFS層を形成する工程を含む、請求項1に記載の方法。
  6. 前記基板は、SiO層を含み、
    前記第2工程は、前記SiO層をN種、F種、及びH種を含有するプラズマに曝す工程を含む、請求項1に記載の方法。
  7. 前記基板は、開口部を有するマスク層を含み、
    前記第1工程は、
    前記マスク層の前記開口部内において前記基板をエッチングする工程と、
    前記マスク層の前記開口部内において前記凹部の前記第1の部分の前記底面をエッチングする工程と
    の少なくとも一方を含む、請求項1に記載の方法。
  8. 前記第2工程と前記第3工程とを繰り返す工程を更に含む、請求項1に記載の方法。
  9. 前記第3工程後、前記基板を100℃超まで加熱して前記AFS層を除去する第4工程を更に含む、請求項1に記載の方法。
  10. 前記基板は、SiO層とSiN層とを交互に備えるスタックを含む、請求項1に記載の方法。
  11. 前記基板は、SiO層とポリシリコン層とを交互に備えるスタックを含む、請求項1に記載の方法。
  12. 前記第2工程及び前記第3工程は、同一の反応チャンバ内で実施される、請求項1に記載の方法。
  13. 前記第2工程は、第1の反応チャンバ内で実施され、
    前記第3工程は、第2の反応チャンバ内で実施され、前記第2の反応チャンバは、前記第1の反応チャンバとは異なる、請求項1に記載の方法。
  14. 前記第2工程は、前記AFS層を、前記凹部の前記第1の部分の少なくとも前記側壁を被覆するコンフォーマル層として形成する工程を含む、請求項1に記載の方法。
  15. 前記第2工程は、前記AFS層を、前記基板の上面から前記凹部の前記第1の部分の前記底面まで前記側壁に沿って延在するサブコンフォーマル層として形成する工程を含む、請求項1に記載の方法。
  16. 基板をエッチングする方法であって、
    前記基板をエッチングして凹部の第1の部分を前記基板に形成する工程であって、前記凹部の前記第1の部分は底面及び側壁を含む、第1工程と、
    前記側壁をケイフッ化アンモニウム(AFS)層で保護する第2工程と、
    前記側壁を前記AFS層で保護しながら、前記底面をエッチングして前記凹部の第2の部分を形成する第3工程と
    を含む、方法。
  17. 前記第2工程は、前記底面をエッチングする間、前記凹部の前記第1の部分の前記側壁のボーイングを防止するように前記凹部の前記第1の部分の前記側壁を保護する工程を含む、請求項16に記載の方法。
  18. 基板をエッチングする方法であって、
    前記基板をエッチングして凹部の第1の部分を前記基板に形成する工程であって、前記凹部の前記第1の部分は底面及び側壁を含む、第1工程と、
    前記側壁内又は前記側壁上にN、F、H、及びSiを含む保護層を形成する第2工程と、
    前記第2工程後、前記側壁を前記保護層で保護しながら、前記底面をエッチングして前記凹部の第2の部分を形成する第3工程と
    を含む、方法。
  19. エッチング対象となる基板を有するウエハが配置されるチャンバであって、前記基板は開口部を有するマスク層を有する、チャンバと、
    ガス源と、
    プラズマ生成器と、
    制御器回路と
    を備える、基板処理装置であって、前記制御器回路は、
    前記マスク層の前記開口部内において前記基板をエッチングして、底面及び側壁を含む、凹部の第1の部分を前記基板に形成するための第1のプラズマを生成するように前記ガス源及び前記プラズマ生成器を制御し、
    前記側壁内又は前記側壁上にケイフッ化アンモニウム(AFS)層を形成するためのN種、F種、及びH種を含有する第2のプラズマを生成するように前記ガス源及び前記プラズマ生成器を制御し、
    前記AFS層の形成後、前記凹部の前記第1の部分の前記側壁が更にエッチングされないように前記AFS層により前記側壁を保護しながら、前記底面を更にエッチングし、前記凹部の第2の部分を形成するための第3のプラズマを生成するように前記ガス源及び前記プラズマ生成器を制御するように構成される、基板処理装置。
  20. 前記基板は、SiO以外のエッチング層を含み、
    前記制御器回路は、
    前記側壁をSi含有前駆体に曝して、前記側壁の表面上に前駆体層を形成するように前記ガス源を制御し、
    前記前駆体層を酸素プラズマに曝して前記前駆体層を酸化させ、前記側壁上にSiO層を形成するように前記プラズマ生成器を制御し、
    前記側壁上のSiO層をケイフッ化アンモニウム(AFS)層に変化させるためのN種、F種、及びH種を含有する前記第2のプラズマを生成するように前記ガス源及び前記プラズマ生成器を制御するように更に構成される、請求項19に記載の基板処理装置。
  21. 前記AFS層をコンフォーマル層又はサブコンフォーマル層として形成する手段を更に備える、請求項19に記載の基板処理装置。
JP2021066417A 2020-05-27 2021-04-09 ボーイングを抑制するための保護側壁層を形成する方法及び装置 Pending JP2021190692A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/884,063 US11171012B1 (en) 2020-05-27 2020-05-27 Method and apparatus for formation of protective sidewall layer for bow reduction
US16/884,063 2020-05-27

Publications (1)

Publication Number Publication Date
JP2021190692A true JP2021190692A (ja) 2021-12-13

Family

ID=78467560

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021066417A Pending JP2021190692A (ja) 2020-05-27 2021-04-09 ボーイングを抑制するための保護側壁層を形成する方法及び装置

Country Status (4)

Country Link
US (1) US11171012B1 (ja)
JP (1) JP2021190692A (ja)
KR (1) KR20210146792A (ja)
CN (1) CN113745105A (ja)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3094470B2 (ja) * 1991-01-22 2000-10-03 ソニー株式会社 ドライエッチング方法
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3816484B2 (ja) * 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP5140608B2 (ja) 2009-01-16 2013-02-06 株式会社アルバック 真空処理装置及び真空処理方法
TWI604528B (zh) * 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US20150064921A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Low temperature plasma anneal process for sublimative etch processes
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
JP6604911B2 (ja) 2016-06-23 2019-11-13 東京エレクトロン株式会社 エッチング処理方法
JP6812284B2 (ja) * 2017-03-28 2021-01-13 東京エレクトロン株式会社 エッチング方法及び記録媒体
JP6561093B2 (ja) * 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US11171011B2 (en) * 2018-08-21 2021-11-09 Lam Research Corporation Method for etching an etch layer
US11417534B2 (en) * 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11056393B2 (en) * 2018-09-27 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for FinFET fabrication and structure thereof
US10741407B2 (en) * 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
JP7372073B2 (ja) * 2019-08-02 2023-10-31 東京エレクトロン株式会社 基板処理方法、基板処理装置及びクリーニング装置
US11322388B2 (en) * 2019-08-23 2022-05-03 Micron Technology, Inc. Semiconductor structure formation

Also Published As

Publication number Publication date
US11171012B1 (en) 2021-11-09
KR20210146792A (ko) 2021-12-06
CN113745105A (zh) 2021-12-03
US20210375633A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
CN105390389B (zh) 高深宽比结构中的触点清洁
JP2022092006A (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
TW201739951A (zh) 連續電漿中之原子層蝕刻
JP2021504974A (ja) 粗さを低減するための原子層堆積及びエッチング
EP3086356B1 (en) Method for etching organic film
US20220051904A1 (en) Etching method
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
TW202147431A (zh) 鉬的原子層蝕刻
US11462412B2 (en) Etching method
KR102505154B1 (ko) 에칭 방법
JP2022513260A (ja) 3dnand応用のためのメモリセルの製造
WO2020190941A1 (en) Reducing roughness of extreme ultraviolet lithography resists
JP2023540291A (ja) 選択的異方性金属エッチング
US11721522B2 (en) Plasma processing method and plasma processing apparatus
JP2021190692A (ja) ボーイングを抑制するための保護側壁層を形成する方法及び装置
US20220181141A1 (en) Etch stop layer
US11417527B2 (en) Method and device for controlling a thickness of a protective film on a substrate
US11404282B2 (en) Method of etching film and plasma processing apparatus
JP7481997B2 (ja) 基板上のパターン形状を制御する方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240130