JP2008511996A - 半導体の構造とトランジスタ、および半導体の構造とトランジスタとを形成する方法 - Google Patents

半導体の構造とトランジスタ、および半導体の構造とトランジスタとを形成する方法 Download PDF

Info

Publication number
JP2008511996A
JP2008511996A JP2007530233A JP2007530233A JP2008511996A JP 2008511996 A JP2008511996 A JP 2008511996A JP 2007530233 A JP2007530233 A JP 2007530233A JP 2007530233 A JP2007530233 A JP 2007530233A JP 2008511996 A JP2008511996 A JP 2008511996A
Authority
JP
Japan
Prior art keywords
transistor
source
semiconductor substrate
gate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007530233A
Other languages
English (en)
Inventor
サン タング,
ゴードン ホーラー,
クリス ブラウン,
アール, アレン, ザ サード ツーマン,
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008511996A publication Critical patent/JP2008511996A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

セルリーケージ、ゲート閾値電圧制御の困難性の改善、チャンネル内での浮動基体効果の改善を目的とする。
【解決手段】
本発明は上面のある半導体基板を有するトランジスタを含む。1対のソース/ドレイン領域が半導体基板の中に形成され、またチャネル領域が半導体基板の中に形成されて半導体基板の上面に対して一般的に垂直に延びる。ゲートが半導体基板の中で1対のソース/ドレイン領域の間に形成される。
【選択図】 図1

Description

本発明はメモリ回路のような半導体の構造を形成する方法、特にメモリセル、DRAMおよびトランジスタを形成する方法に関する。
集積回路の大きさは縮小を続け、集積回路の構造および関連する集積回路構成を形成する新しい方法と、現在用いられている方法およびそれによって形成される結果の構造を改善する方法とを見出すための努力が続けられている。集積回路構成の1つのタイプがメモリ回路とアレイである。このような回路構成について回路構成の大きさを減らし、その回路構成で動作する速度を速くし、またそのメモリ機能を果たすこのような回路構成の能力を維持あるいは増強するために大きな努力を払って来ており、また続けられている。半導体設計技術者は継続的にアレイの性能を犠牲にすることなくメモリ回路の大きさを減らす方法を探求している。
このような方法の1つがメモリ回路に関わるトランジスタ構造の設計の改善によるものである。トランジスタ構造あるいはトランジスタ装置は半導体回路に対して多数の応用がある。たとえばトランジスタ構造はメモリ回路(たとえばダイナミックランダムアクセスメモリ(DRAM))および論理回路に用いることができる。DRAM回路は通常、それぞれワード線およびディジット線(またはビット線)として知られている行と列によって相互結線されているメモリセルのアレイを含む。典型的なDRAMメモリセルは電荷蓄積装置あるいはデータ記憶素子(たとえばキャパシタ装置のような)に接続されたトランジスタ構造を備える。
典型的なトランジスタ構造は、1対のソース/ドレイン領域の間にあるチャネル領域と、ソース/ドレイン領域をチャネル領域を通して互いに電気的に接続するように構成されたゲートとを備える。半導体構造に用いられるトランジスタ構造は半導体基板によって支持されよう。この半導体基板は水平方向あるいは水平面を規定すると考えられる主面を有する。トランジスタ装置は半導体基板の主面に対するチャネル領域の向きによって大きく2つの範疇にわけることができる。特に、基板の主面に主に平行であるチャネル領域を有するトランジスタ構造はプレーナ型トランジスタ構造と呼ばれ、基板の主面に一般的に垂直であるチャネル領域を有するものは縦型トランジスタ構造と呼ばれる。トランジスタ装置のソースとドレイン間の電流の流れはチャネル領域を通って起こるので、プレーナ型トランジスタ装置は電流の流れる方向ならびにチャネルの一般的な方向に基づいて縦型トランジスタ装置と区別できる。特に、縦型トランジスタ装置は装置のソース領域とドレイン領域間の電流の流れが主として実質的に半導体基板の主面に直交している装置であり、プレーナ型トランジスタ装置はソース領域とドレイン領域間の電流の流れが主として半導体基板の主面に平行である装置である。
中でもプレーナ型トランジスタ装置と比べて縦型トランジスタ装置を利用することにより得られる充填密度の利点のため、縦型トランジスタを集積回路構成応用に組み込むことができる方法の開発に継続的な関心がある。装置の適切な性能特性を維持しながら半導体応用に望ましい縦型トランジスタ装置の巨大なアレイを作ろうとすると、しばしば困難に遭遇する。たとえば現在の縦型トランジスタ装置形成に対する方法は半導体基板の主面あるいは水平面から上方に延びるエピタキシャルシリコンのポストあるいは柱を形成あるいは成長させることを含む。このエピタキシャルシリコンのポストあるいは柱は現在の縦型トランジスタ装置の設計においてトランジスタチャネルとして使われる。しかし、この設計はいくつかの問題を引き起こす。たとえば大きな欠陥密度がセルリーケージの問題を起こす可能性がある。さらにこの設計は、トランジスタのゲート閾値電圧制御の困難さを複雑にするあるいは増加させる、トランジスタチャネル内での浮動基体効果を助長する。したがって、これらの問題を改善する、あるいは少なくとも減少または軽減するような縦型トランジスタ装置を製造する新しい方法を開発することが望まれている。
ある態様において、本発明は半導体基板を含むトランジスタ装置を包含する。この装置はまた半導体基板内に延びるように形成されたゲートと、このゲートの上に形成されたゲート誘電体と、このゲートの反対側に形成された1対のソース/ドレイン領域と、半導体基板内に形成されたチャネル領域を含む。
他の態様において本発明は上面を有する半導体基板を含むトランジスタ装置を包含する。1対のソース/ドレインが半導体基板内に形成される。チャネル領域が半導体基板内に形成され、一般的に半導体基板の上面に対して垂直に延びる。1つのゲートが1対のソース/ドレイン領域の間に形成される。
別の態様において、本発明は半導体基板の上面から上方に延びる導電性のポストを含む半導体構造を包含する。ソース/ドレイン領域が半導体基板内の導電性ポストの下に形成され、この導電性ポストと電気的に結合される。トランジスタチャネルがこのソース/ドレインの下に延び、ゲートが半導体基板内にこのトランジスタチャネルに近接して形成される。
さらに別の態様において、本発明は半導体基板に開口を備えることを含む半導体構造を形成する方法を包含する。開口内部の半導体基板上に酸化膜が形成される。導電性のゲート材料が酸化膜上に供給され、開口を埋める。1対の拡散領域が半導体基板内のゲート材料の相対する側に形成され、チャネル領域が半導体基板内に一般的に垂直に延びるように規定される。
本発明の好ましい実施例を以下の添付図面を参照して説明する。
本発明の開示は米国特許法の「科学と有用な技術の進歩を促進する」(第1条第8項)という制度の目的を助成するため行うものである。
メモリ集積回路構成に関して、メモリアレイにおける各メモリセルに必要な基板上の面積が部分的に装置の能力を決定する。この面積は各メモリセル中の素子数と各素子の大きさとの関数である。従来のメモリセルについてこの面積は8F2と記述されるが、ここにFはフォトリソグラフィ的に規定される最小加工寸法を表し、従来のセル面積の寸法は2Fx4Fである。これらのメモリセルの寸法と面積は米国特許出願公告No. 2003/0234414 A1、2003年12月25日発行、を参照することにより容易に理解でき、その開示はこれを参照することによりここに組み込まれる。米国特許出願公告No. 2003/0234414 A1はメモリセルが4F2程度のセル面積を有する最新のメモリ装置を開示している。米国特許出願公告No. 2003/0234414をもう一度見て、その開示を本発明の開示と比べることにより、本発明が4F2程度のメモリセル面積を含むメモリ回路構成を開示していることが理解されよう。
いま図1および図2(図2は図1の断面図)を参照すると、半導体構造10は一般的に水平で、その代わりに上面とも記述される、主面13を有する基板12を備える。基板12は単結晶半導体を含む、原則としてそれから成る、あるいはそれから成ることができ、特定の態様において適切なバックグラウンドタイプの添加不純物によって軽くドープした単結晶を含む、原則としてそれから成る、あるいはそれから成ることができる。たとえば基板12は単結晶シリコンウエハの一部であってもよい。あとに続く請求項の理解の助けとなるように、用語「半導電性基板」および「半導体基板」は、半導電性ウエハ(単体あるいはその上に他の材料を備えた組立品)のようなバルク半導電性材料および半導電性の材料層(単体あるいは他の材料を備えた組立品)を含むがこれに限定されない、半導電性材料から成る構造を意味すると定義される。用語「基板」は、上記の半導電性基板を含むがこれに限定されない、支持構造を指す。ある実施例において、基板12はバルク半導体基板あるいはバルクウエハ、たとえば単結晶シリコン基板あるいはウエハから成る。
なおも図1および図2を参照すると、アイソレーション領域14が基板12に形成されている。ある実施例において、アイソレーション領域14は浅いトレンチのアイソレーション(STI)領域から成る。このアイソレーション領域14は一般的に平行に間隔を空けた列で延び、基板12の領域16をアイソレーション領域14のそれぞれの列の間に残す。基板12の領域16はアイソレーション領域14によって規定され、上面13を有する平行で間隔を空けた列として構成される。
図3および図4(図4は図3の断面図)を参照すると、ナイトライド層18が基板12の上面13およびアイソレーション領域14の上にデポジットされている。ナイトライド層18の厚さの例、これはナイトライド層18が上面13から上方に延びている高さであり、約2,000オングストロームから約3,000オングストロームの範囲である。
図5〜8を参照すると、4つの図がすべて同じ処理段階を表していることが理解できよう。図5〜6は第1の方向を表し、図7〜8は図5〜6から90度の方向にある第2の方向を表す。ナイトライド層18にパターンを形成し、エッチして、基板12まで下に延びているトレンチ20(図8)を形成し、基板12の上面部分22を露出させる。トレンチ20はまたアイソレーション領域14のアイソレーション領域の一部分24を露出させる。このナイトライド層18が、一般にアイソレーション領域14の方向とは垂直の方向に間隔を空けて平行な関係でナイトライド列あるいはランナ18としてパターンのまま残る。基板12の上面部分22は一般的にアイソレーション領域14のアイソレーション領域の一部分24とナイトライド列18とによって仕切られ、一般的に正方形の形状である。ある実施例において、このエッチング工程は基板を0から約300オングストロームの範囲でオーバーエッチすることを含む。
図9〜12を参照すると、アイソレーション領域部分24はエッチされて、基板12の上面部分22の下へアイソレーション領域14を縦方向に凹ませ、アイソレーション領域14の凹んだ面26を残す(図10)。ある実施例において、このエッチプロセスは反応性イオンエッチ(R.I.E.)から成り、ナイトライドランナ18および基板12の露出したシリコン、たとえば上面部分22に対して選択的である。この凹ませるエッチはもともとアイソレーション領域14の絶縁性材料によって覆われていた基板12の側壁27を露出させる。このアイソレーション領域14は上面部分22の下へ約500から約1,500オングストロームの範囲で凹まされ、別の凹みの例の範囲は約800から約1,500オングストロームである。ある実施例において、凹んだ面26と上面部分22との凹みの距離は約1,000オングストロームである。基板12の側壁27および上面部分22の表面から残留酸化物を除去するためにクリーンエッチを行うが、クリーンエッチの例は湿式のフッ酸(HF)エッチである。
図13〜16を参照すると、アイソレーション領域14の露出した部分(たとえば図9〜12に示す凹んだ面26)を保護するため、ナイトライドライナ28が基板12の上とその上に形成された構造の上に形成されている。ある実施例において、ナイトライドライナ28の厚さは約30から約100オングストロームの範囲である。たとえば犠牲層30のスピンオブグラス(SOG)層がナイトライドランナ18の間のトレンチ20を埋めるために作られる。犠牲層30の別の材料の例はボロフォスフォシリケートガラス(BPSG)およびTEOS層またはそのいずれかである。SOG層30を平坦にするため、プレーナエッチをプレーナエッチがナイトライドランナ18のところで止まるまで行うが、その際にナイトライドランナ18はエッチストップとして機能する。プレーナエッチの例はCMP(chemical mechanical polishing)プロセスを含む。
図17〜20を参照すると、SOG層30にパターンが作られ、選択的にエッチしてSOG層30の一部を除去してSOG層を通る開口31を形成し、基板12の上面部分22の上のナイトライドライナ28を露出させる。ナイトライドライナ28の露出部分の構成の例は正方形である。SOG層30の1部分は基板12から上方に、ナイトライドランナ18の間に延びる塔のように残り、塔に対する構成の例は長方形である。ナイトライドライナ28の露出した部分は除去されて、基板12の上面部分22を露出させる。上面部分22の上からナイトライドライナ28の1部分を除去するためのエッチの例は選択的なナイトライドのエッチを含む。上面部分22の上からナイトライドライナ28の1部分を除去したあと、上面部分22の上に開口31が延び、SOG層30の塔とナイトライド列18とによって規定あるいは境界が定められている。選択的なナイトライドのエッチの例はナイトライド(たとえばナイトライド列18)を0から約300オングストロームだけオーバーエッチし、好ましくはシリコン基板12で停止する。ある実施例において、基板12の露出した上面部分22が、そのあと形成される装置および構造またはそのいずれかに対する有効面積として使えるあるいは機能する基板12の一般表面積を規定する。
図21〜24を参照すると、全面を覆う絶縁層、たとえばTEOS層、がシリコン基板12の上に形成され、開口31を埋める。TEOS層の例は異方的にエッチされ、犠牲TEOSスペーサ34をナイトライド列18とSOG層30の上に形成する。エッチの例は、ナイトライド列18およびSOG層30の側面から横方向に約200から約500オングストローム延びる犠牲TEOSスペーサ34を残す反応性イオンエッチを含む。犠牲TEOSスペーサ34は開口31を狭くし、上面部分22の上により小さな面積を露出させる一般に円筒形の開口32を残す。ある実施例において、TEOSスペーサ34はそのあとにシリコン基板12の上面部分22の上方あるいは上に形成される構造に対して可能な限界寸法を改善する。
図25〜28を参照すると、すべてではないがある実施例において、ナイトライド材料がシリコン基板12の上に供給されて円筒形の開口32を埋め、それから異方的にエッチされてもう1つのナイトライドライナ36を犠牲TEOSスペーサ34の上に形成する(最初のナイトライドライナは28である)。ある異方的エッチの例は約50から約200オングストロームの範囲の厚さを有するナイトライドライナ36を提供する。異方的にエッチしてナイトライドライナ36を形成したあと、反応性イオンエッチを行ってシリコン基板12の上面部分22の上からナイトライドライナ36を除去し、これによりシリコン基板12の上面部分22が再び露出する。ある実施例において、ナイトライドライナ36はそのあとに続くエッチプロセスの間およびそのあとに続くシリサイド形成プロセスの間またはそのいずれかの間にTEOSスペーサ34を保護する。
図29〜32を参照すると、ある実施例において、さらなるエッチングおよび平坦化プロセスを行って、ナイトライド列18およびSOG層30の上面をシリコン基板12に対して上面部分22の上の予め選択した高度あるいは高さまで縦方向に低めることができる。このような予め選択したナイトライド列18およびSOG層30の高さは、基板12に対してそのあとに続いて形成するエピタキシャル構造の予め選択した高さの形成を容易にする。ポストあるいは柱38がシリコン基板12の露出した上面部分22から円筒形の開口32を通って上方に延びるように形成される。ある実施例において、ポストあるいは柱38がシリコン基板12の露出した上面部分22から成長あるいは形成されたエピタキシャルシリコンから成る。ポスト38は上面39を有し、ある実施例において、上面39がナイトライド列18の上面47の下に、例えば約1,000から約1,500オングストロームの縦方向の差をつけて、縦方向に形成される。ポスト38の例は約1,000から約1,500オングストロームの高さ(およそ上面部分22から上面39まで測った)を有する。その代わりに、エピタキシャルシリコンポスト38の高さの例は、シリコン基板12から延びるナイトライド列18の高さに対して百分率の高さの関係として考えることができる。たとえばエピタキシャルシリコンポスト38が上面部分22からナイトライド列18の高さの約50%から約70%以内に延びるように、また別の例ではナイトライド列18の高さの約60%から約65%の範囲に形成される。ある実施例において、エピタキシャルシリコンポスト38は、電荷蓄積装置あるいはデータ記憶素子(たとえばキャパシタ装置)とあとに続くプロセスで形成され以下にさらに詳しく説明するトランジスタとの間の電気的接触として役立つあるいは機能する。その代わりに考えられるのはポスト38がノード領域、たとえばあとで詳しく説明するソース/ドレイン領域として役立つあるいは機能することである。
エピタキシャルシリコンポスト38を形成する代替プロセスの例は基板12の上に導電性の材料をデポジットすることで、その際に開口32は導電性材料で埋められる。この代替プロセスにおいて、円筒形の開口32の外方へ延びる導電性材料は、たとえばプレーナあるいはブランケットエッチングによって、好ましくはナイトライド列18の上面47まで除去される。この導電性材料は、それからナイトライド列18の上面47の下にたとえば約1,000から約1,500オングストロームの縦方向の差を有する導電性材料を縦方向に残すように、円筒形開口32の中へ凹まされる。導電性材料の例はドープしない、あるいはドープしたポリシリコンを含み、その際にこのドープしないポリシリコンはプロセスのある段階でドープされる。
なおも図29〜32を参照すると、拡散領域あるいはノード41を形成するために導電性ドーパントを基板12の上面部分22の中に供給する導電性インプラント(示されていない)を行う。このインプラント法のある実施例において、実質的に導電性ドーパント全てがシリコン基板12の中に残るように、導電性ドーパントが実質的にポスト38を通してインプラントされる。その代わりに、導電性ドーパントの一部がポスト38に残り、ポスト38が導電性となって拡散領域あるいはノード41の一部を形成する。拡散領域41の例はソース/ドレイン領域、たとえばドレイン領域を含む。他の実施例において、ポスト38は導電性にドープされるが、拡散領域あるいはノード41の一部を形成せず、したがってあとで形成されるトランジスタの拡散領域あるいはノード41とキャパシタとの間の電気的接触を形成する。なおも別の実施例において、ポスト38と拡散領域41とが1対のソース/ドレイン領域全体を含み、ポスト38があとで形成されるキャパシタと電気的に結合される。プロセスの方法の例において、導電性インプラント(示されていない)を実質的にポスト38中にのみ導電性ドーパントを供給するように行い、それからポスト38をアニールして導電性ドーパントをポスト38からシリコン基板12中に拡散させて少なくとも拡散領域41の一部を形成する。別の実施例において、拡散領域41は形成されず、導電性インプラント(示されていない)を導電性ドーパントが実質的にポスト38中にのみ供給されるように行い、ポスト38が1対のソース/ドレイン全体を含む。その代わりに、拡散領域41が1対のソース/ドレイン領域の1つの一部を含み、ポスト38がその1対のソース/ドレイン領域の1つの他の部分を含む。
ポスト38の例は一般に環状あるいは円筒形であり、随意的に形成したナイトライドライナ36およびTEOSスペーサ34またはそのいずれかとの間に空隙があっても無くてもよいことが理解できよう。ナイトライド材料40を基板12の上および円筒形開口32中に供給し、ポスト38、ナイトライドライナ36およびTEOSスペーサ34またはそのいずれかとの間の空隙を埋め、またナイトライド材料40をポスト38およびSOG層30の上に供給する。ナイトライド材料40をエッチバックして上面49を形成するが、この面は縦方向にSOG層30の上面37より下で、ナイトライドランナ18の上面47よりも下に凹んでいる(ナイトライド材料40は随意的なナイトライドライナ36を組み込んでいるとして示されている)。ナイトライド材料40を凹ませるエッチの例はナイトライド材料40を凹ませてSOG層30およびTEOSスペーサ34を露出させるプレーナあるいはブランケット反応性イオンエッチを含む。ナイトライド材料40の例の1つは、あとに続くプロセス、たとえばSOG層30およびTEOSスペーサ34の除去の際に、エピタキシャルシリコンポスト38を保護するバリアあるいはハードマスク40として役立つ犠牲層である。
図33〜36を参照すると、SOG層30およびTEOSスペーサ34の除去のため、また好ましくはSOG層30およびTEOSスペーサ34を完全に除去するため、湿式あるいはガスエッチを行う。エッチの例は、ナイトライドライナ28、ハードマスク40,ナイトライドランナ18およびシリコン基板12の上面部分22のようなナイトライドおよびシリコン材料でエッチングが停止する選択的エッチを含む。選択的エッチはナイトライドライナ28、ポスト38(ハードマスク40を含む)およびナイトライドランナ18により規定される開口42を形成する。選択的エッチの例は希フッ酸エッチおよび緩衝酸化物エッチまたはそのいずれかを含む。
図37〜40を参照すると、ナイトライドライナ28をアイソレーション領域14、シリコン基板12、および上面部分22の上から除去するために乾式/湿式ナイトライドパンチエッチを行う。このパンチエッチはまたポスト38からハードマスクの部分40を除去する。ある実施例において、ポスト38の直接上にあるハードマスク40の厚さは実質上ポスト38の側部の上にあるハードマスク40の厚さより大きく、そのためパンチエッチによりポスト38からハードマスク40の側部部分を除去し、一方ポスト38の直接上にあるハードマスク40の実質的な部分を残すことが可能である。
なおも図37〜40を参照すると、選択的乾式エッチを行ってポスト38に近接した基板12の上面部分22を除去しアイソレーション領域14まで下げる。この選択的エッチはまたアイソレーション領域14の部分を除去し、シリコン基板12の部分をポスト38の直下あるいは下で残し、これはシリコン支持構造46と呼ばれる。支持構造の例46は、シリコン支持構造46の上に縦方向に延びているポスト38と同様に、一般に環状あるいは円筒形である。この選択的エッチは開口42を拡大し、底周辺がシリコン支持構造46、シリコン基板12の上面48、およびアイソレーション領域14の上面50によって規定される開口44を形成する。ある実施例において、このパンチエッチが、上面48を縦方向に上面50の下に残して、シリコン基板12をアイソレーション領域14の上面50よりも僅かに下までエッチするあるいは凹ませる。
なおも図37〜40を参照すると、絶縁性膜52、たとえば酸化物、がシリコン基板12の露出部分およびポスト38の露出部分に形成される。シリコン基板12の露出部分は上面48とシリコン支持構造46で規定される開口44の底部周辺を含む。ポスト38の露出部分はポスト38の側壁を含む。ある実施例において、絶縁性膜52は二酸化シリコンから成り、あとで形成されるトランジスタのゲート酸化物あるいはゲート誘電体として役立つあるいは機能する。ゲート誘電体52を形成する方法の例は上面48,シリコン支持体構造46およびポスト38の側壁の露出シリコン表面に成長する酸化物を含む。
ある実施例において、シリコン支持体構造46はあとで形成されるトランジスタに対するチャネルの一部分として役立つあるいは機能する。したがって、ポスト38の底部から上面48まで測ったシリコン支持体構造46の長さはあとで形成されるトランジスタチャネル46の縦の長さを一般的に規定する。さらに、トランジスタチャネル46は一般的に基板12の向きに対して縦方向あるいは垂直方向に延びているので、また言い換えればトランジスタチャネル46は基板12の水平あるいは主面上に垂直に延びているので(上面部分22は示されていないが、ポスト38と基板12との間の界面として存在している)、トランジスタチャネル46は実施例において縦型トランジスタ設計例を規定する。したがって、縦型トランジスタ設計例は実施例における縦型サラウンディングトランジスタあるいは縦型サラウンディングゲートトランジスタを含む。トランジスタチャネル46(あるいは縦型チャネル46と呼ばれる)の長さは、選択的エッチプロセス段階、たとえば除去およびシリコン基板12へのエッチに許される選択的エッチの時間(つまりシリコン基板12への選択的エッチの深さ)に依存することが理解できよう。
図41〜44を参照すると、導電性材料がゲート誘電体52の上にデポジットされ、トランジスタゲートあるいはワード線54として役立つあるいは機能する。トランジスタゲート54のための導電性材料を形成する方法の例は開口44内にポリシリコン材料をデポシットし、CMPプロセスによりポリシリコン材料の一部を下のナイトライドランナ18まで除去し、それから開口44内のポリシリコン材料をエピタキシャルシリコンポスト38の下まで凹ませる方法を含む。たとえば、トランジスタゲート54の上面55は縦方向にエピタキシャルシリコンポスト38の上面39の約1,000オングストローム下に形成する。ある実施例において、トランジスタゲート54のポリシリコン材料は凹まされて基板12の上面(たとえばポスト38と基板12との界面)の縦方向の下に上面55を形成する。随意的なシリサイド層(示されていない)がトランジスタゲート54上に形成されるが、シリサイドの例はチタンシリサイドおよびコバルトシリサイドを含む。
図45〜48を参照すると、絶縁性材料あるいは層56がシリコン基板12、ゲート構造54、エピタキシャルシリコンポスト38およびナイトライドランナ18の上に形成される。絶縁性層56は開口44を埋める。絶縁性層56の例はスピンオンガラス層およびTEOS層を含む。絶縁性層56の一番外側の部分をCMPあるいはその他のプレーナエッチング法によって除去し、ナイトライドランナ18を露出させ、絶縁性層56をそれぞれのナイトライドランナ18の間に直線状に延びるように残す。次に、ナイトライドランナ18にパターンを作り、選択的にエッチして、ナイトライドランナ18の部分を通って延びる開口62を形成し、基板12の上面部分58を露出させる。ナイトライドランナ18の部分がシリコン基板12の上から上方に延びて残っていることが理解できよう。シリコン基板12の上面部分の例58は一般的に正方形の形に作られ、絶縁性層56とシリコン基板12の上に残っているナイトライドランナ18の部分とによって境界が定められている、あるいは囲まれている。導電性インプラント(示されていない)を行って基板12の上面部分58中に導電性ドーパントを供給し、能動領域59、たとえば拡散領域あるいはノードを形成する。ある実施例において、拡散領域59はあとで形成される装置、たとえばトランジスタ、のソース/ドレイン領域59を含む。さらに他の実施例において、拡散領域59はソース/ドレイン領域を含み、拡散領域あるいはノード41を補完し協同して動作する。拡散領域の例59は1対のソース/ドレイン領域の1つ、たとえばソース領域を含む。
図49〜50を参照すると、これはある実施例に従った、図1〜48のプロセス段階のあとの、たとえば図45〜48のプロセス段階のあとの、半導体構造100を示す。図49は図46の見た方向と同様の方向で見た、あとのプロセス段階における半導体構造100を示す。図50は図48の見た方向と同様の方向で見た、あとのプロセス工程における半導体構造100を示す。図50は図49の半導体構造100の図を図49の見た方向から90度回転させたものであることが理解されよう。図49〜50は、電荷蓄積装置あるいはデータ記憶素子の例、たとえばキャパシタ装置、と電気的に結合されたトランジスタ装置の例を示す。このようなトランジスタとキャパシタとの組合せの例はDRAMのようなメモリセルを含むメモリおよび論理回路またはそのいずれかの代表的なものである。トランジスタ装置の例は一般的に番号69で、また電荷蓄積装置あるいはデータ記憶素子の例、たとえばキャパシタ装置は一般的に番号80で参照されている。
トランジスタの例69はゲート54,ゲート誘電体52,およびソース/ドレイン領域41および59を備える(図50)。トランジスタの例69はさらに一般的に基板12の領域として表されるチャネルを含むが、図50において電流の流れ71がゲート54(およびゲート誘電体52)の周りをソース/ドレイン領域59からソース/ドレイン領域41へ延びるように示されている。チャネル部分の例はソース/ドレイン領域41の下に直接縦方向に延びるシリコン支持構造46を備える。シリコン支持構造46により規定されるチャネル部分の例はシリコン基板12の円筒形あるいは環状部分である。ゲート54は一般的にシリコン基板12の中で垂直に下方に、一般的にシリコン基板12の上面に対して垂直に延びる(上面は一般にソース/ドレイン領域41および59の水平上部の線、たとえばポスト38とソース/ドレイン領域41との界面として表される)。ゲート54はシリコン基板12からゲート誘電体52によって分離され絶縁される。ゲート54はシリコン基板12に対して垂直に延びる。しかしゲート54がシリコン支持体構造46によって規定されるチャネル部分を囲むあるいは取り巻いていることが理解されよう。したがってゲート54の例は縦型ロランジスタ、たとえばサラウンディングゲートトランジスタに対する縦型サラウンディングゲートを規定する。ある実施例において、ポスト38がソース/ドレイン領域としてではなく電気的接触として規定される場合、トランジスタ69の全体がシリコン基板あるいはバルクウエハ12の中に形成される。言い換えれば、トランジスタ69はウエハ12の一番上の面かあるいはその下に形成される。
ソース/ドレイン領域の例41はドレイン領域から成る。ソース/ドレイン領域の例59はソース領域から成る。ある実施例において、1つのソース/ドレイン領域59はトランジスタ69のソース領域の全体を含む。他の実施例において、ゲート54の相対する側に形成された1対のソース/ドレイン領域59はトランジスタ69のソース領域の全体を含む。ある実施例において、トランジスタ69の活性化は、ソース領域59から下方向にシリコン基板12を通ってゲート54の底部の下からそこを回って上方へチャネル部分46を通ってドレイン領域41に戻る、電流の流れ71を引き起こす。図45〜48のあとのプロセスの際に、ポスト38の直接上のハードマスク40が除去され、ハードマスク40の直接上の絶縁性層56の一部が除去されてポスト38の上面を露出させる。導電性材料102がポスト38の上に接触して形成されて電気的接触を形成する。導電性材料の例102は、トランジスタ69をポスト38経由で、あとで形成される装置、たとえばキャパシタ80と電気的に結合するポリシリコンプラグあるいはセル・プラグ102を形成するためのポリシリコンである。
キャパシタの例80は底部セルプレートあるいは蓄積ノード72,蓄積ノード72上のキャパシタ誘電体73、およびキャパシタ誘電体73上の上部セルプレート74を備える。キャパシタ80はエピタキシャルシリコンポスト38とポリシリコンプラグ102とによってトランジスタ69に電気的に結合され、ポリシリコンプラグ102は蓄積ノード72に接触し、電気的に結合する。導電性プラグ61(図50)はソース/ドレイン領域59から上方に延びるように形成され、それと電気的に結合している。導電性プラグ61はまたディジット線の部分104に接触し、ディジット線104をソース/ドレイン領域59を経由してトランジスタ69に電気的に結合する。ディジット線の例104はポリシリコンプラグおよびシリサイドまたはそのいずれかから成る。導電性プラグの例61はドープしたポリシリコンから成る。絶縁性のスペーサ70(図50)は導電性プラグ61と絶縁性層56との間に形成される。絶縁性のスペーサの例70はシリコンナイトライドおよび酸化シリコンのような酸化物またはそのいずれかから成る。
半導体構造100はキャパシタ80とトランジスタ69との間に中間的構造を備える。ナイトライドキャップ106がディジット線の部分104の上に形成される。絶縁性のスペーサ110がディジット線104と一方の側ではナイトライドキャップ106との間に、また他の側ではポリシリコンプラグ102との間に形成される。二酸化シリコン層108がナイトライドキャップ106の上に形成される。
法に従って本発明は構造的および方法的特徴について言葉により大なり小なり具体的に説明してきた。しかし本発明は示しまた説明した特定の特徴に限定されないことが理解される必要がある、というのはここで開示した手段は本発明を実施するのに好ましい形態から成るからである。したがって本発明は添付の請求項の適切な範囲内で均一者の原理にしたがって適切に解釈されるどのような形態あるいは修正も請求される。
本発明の態様の例の予備的な処理段階における半導体構造を示す断片的な上面図である。 図1の断片の、線2−2に沿って取った断面図である。 図1の処理に続く処理段階における図1の断片の図である。 図3の断片の、線4−4に沿って取った断面図である。 図3の処理に続く処理段階における図3の断片の図である。 図5の断片の、線6−6に沿って取った断面図である。 図5の断片を90度回転した図である。 図7の断片の、線8−8に沿って取った断面図である。 図5の処理に続く処理段階における図5の断片の図である。 図9の断片の、線10−10に沿って取った断面図である。 図9の断片を90度回転した図である。 図11の断片の、線12−12に沿って取った断面図である。 図9の処理に続く処理段階における図9の断片の図である。 図13の断片の、線14−14に沿って取った断面図である。 図13の断片を90度回転した図である。 図15の断片の、線16−16に沿って取った断面図である。 図13の処理に続く処理段階における図13の断片の図である。 図17の断片の、線18−18に沿って取った断面図である。 図17の断片を90度回転した図である。 図19の断片の、線20−20に沿って取った断面図である。 図17の処理に続く処理段階における図17の断片の図である。 図21の断片の、線22−22に沿って取った断面図である。 図21の断片を90度回転した図である。 図23の断片の、線24−24に沿って取った断面図である。 図21の処理に続く処理段階における図21の断片の図である。 図25の断片の、線26−26に沿って取った断面図である。 図25の断片を90度回転した図である。 図27の断片の、線28−28に沿って取った断面図である。 図25の処理に続く処理段階における図25の断片の図である。 図29の断片の、線30−30に沿って取った断面図である。 図29の断片を90度回転した図である。 図31の断片の、線32−32に沿って取った断面図である。 図29の処理に続く処理段階における図29の断片の図である。 図33の断片の、線34−34に沿って取った断面図である。 図33の断片を90度回転した図である。 図35の断片の、線36−36に沿って取った断面図である。 図33の処理に続く処理段階における図33の断片の図である。 図37の断片の、線38−38に沿って取った断面図である。 図37の断片を90度回転した図である。 図39の断片の、線40−40に沿って取った断面図である。 図37の処理に続く処理段階における図37の断片の図である。 図41の断片の、線42−42に沿って取った断面図である。 図41の断片を90度回転した図である。 図43の断片の、線44−44に沿って取った断面図である。 図41の処理に続く処理段階における図41の断片の図である。 図45の断片の、線46−46に沿って取った断面図である。 図45の断片を90度回転した図である。 図47の断片の、線48−48に沿って取った断面図である。 本発明の実施例の図45-48に続く処理における最終処理段階での半導体構造の断片の断面図である。 図49の断片を90度回転した図である。

Claims (40)

  1. トランジスタ装置であって、
    半導体基板と、
    前記半導体基板内に延びるように形成されたゲートと、前記ゲートの上に形成されたゲート誘電体と、前記ゲートの相対する側に形成された1対のソース/ドレイン領域と、前記半導体基板内に形成されたチャネル領域とを備えるトランジスタ装置。
  2. 前記ゲート誘電体、前記1対のソース/ドレイン領域、および前記チャネル領域が前記半導体基板内に形成される請求項1のトランジスタ装置。
  3. ゲート誘電体の全体、1対のソース/ドレイン領域の全体、およびチャネル領域の全体が前記半導体基板内に形成される請求項1のトランジスタ装置。
  4. 前記ゲートが前記チャネル領域を囲む請求項1のトランジスタ装置。
  5. 前記チャネル領域が前記半導体基板内で前記1対のソース/ドレイン領域の下に縦方向に形成される請求項1のトランジスタ装置。
  6. 前記ゲートが前記チャネル領域を囲み、前記ゲートが前記1対のソース/ドレイン領域の1つを囲む請求項1のトランジスタ装置。
  7. 前記半導体基板が単結晶シリコンから成る請求項1のトランジスタ装置。
  8. DRAM装置に組み込まれたトランジスタをさらに備える請求項1のトランジスタ装置。
  9. メモリセルを形成するために前記トランジスタと電気的に結合されたデータ記憶素子をさらに備え、前記メモリセルが前記半導体基板の上に約4F2の面積を有し、ここにFはフォトリソグラフ的に規定される形状の最小加工寸法を表す請求項1のトランジスタ装置。
  10. トランジスタ装置であって、
    上面を備える半導体基板と、
    前記半導体基板内に形成された1対のソース/ドレイン領域と、
    前記半導体基板内に形成され一般的に半導体基板の上面に対して垂直に延びるチャネル領域と、
    前記1対のソース/ドレイン領域の間に形成されたゲートとを備えるトランジスタ装置。
  11. 前記チャネル領域が前記1対のソース/ドレイン領域の1つの直下に延びる請求項10のトランジスタ装置。
  12. 前記チャネル領域が前記1対のソース/ドレイン領域の1つの直下に延び、ゲートが前記半導体基板内に形成され、また前記チャネル領域を囲み、また1対のソース/ドレイン領域の1つを囲む請求項10のトランジスタ装置。
  13. 前記半導体基板が単結晶シリコンから成る請求項10のトランジスタ装置。
  14. DRAM装置に組み込まれたトランジスタをさらに備える請求項10のトランジスタ装置。
  15. メモリセルを形成するために前記1対のソース/ドレイン領域の1つに電気的に結合されたデータ記憶素子をさらに備え、前記メモリセルが前記半導体基板の上に約4F2の面積を備え、ここにFはフォトリソグラフ的に規定される形状の最小加工寸法を表す請求項10のトランジスタ装置。
  16. 半導体構造であって
    半導体基板の上面から上方に延びる導電性ポストと、
    前記半導体基板内で前記導電性ポストの下に形成され、前記導電性ポストと電気的に結合されているソース/ドレイン領域と、
    前記ソース/ドレイン領域の下に延びるトランジスタチャネルと、
    前記半導体基板内に前記トランジスタチャネルに隣接して形成されたゲートとを備える半導体構造。
  17. 前記導電性ポストがエピタキシャルシリコンポストから成る請求項16の半導体構造。
  18. 前記ソース/ドレイン領域がドレイン領域から成る請求項16の半導体構造。
  19. 前記導電性ポストに電気的に結合されたキャパシタをさらに備える請求項16の半導体構造。
  20. 前記構造がトランジスタを備え、さらにメモリセル構造に前記トランジスタを組み込み、前記導電性ポストがこのトランジスタをキャパシタに結合する請求項16の半導体構造。
  21. 前記半導体基板が一般的に水平方向であり、前記トランジスタチャネルが一般的に垂直方向に延びる請求項16の半導体構造。
  22. 前記半導体基板が単結晶シリコンから成る請求項16の半導体構造。
  23. 前記トランジスタチャネルに相対するゲートに隣接して形成されたもう1つのソース/ドレインと、
    メモリセルを形成するために前記導電性ポストに電気的に結合されたデータ記憶素子とをさらに備え、前記メモリセルが前記半導体基板の上に約4F2の面積を有し、ここにFはフォトリソグラフ的に規定される形状の最小加工寸法を表す請求項16の半導体構造。
  24. 半導体構造を形成する方法であって、
    半導体基板を供給し、
    前記半導体基板中に開口を形成し、
    前記開口内の半導体基板上に酸化膜を形成し、
    前記酸化膜の上に導電性ゲート材料を供給して、前記開口を埋め、
    前記半導体基板内でこのゲート材料と相対する側に1対の拡散領域を形成し、
    前記半導体基板内で一般的に垂直方向に延びるチャネル領域を規定することを含む半導体構造を形成する方法。
  25. キャパシタを形成し、
    前記キャパシタを前記1対の拡散領域の1つに電気的に結合することを含む請求項24の半導体構造を形成する方法。
  26. 前記半導体基板から前記1対の拡散領域の1つの上で上方に延びるエピタキシャルポストを形成し、
    前記半導体基板上にキャパシタを形成し、
    前記キャパシタを前記エピタキシャルポストに電気的に結合することを含む請求項24の半導体構造を形成する方法。
  27. 縦型トランジスタ構造であって、
    シリコン基板と、
    前記シリコン基板内で規定され、一般的に前記シリコン基板に対して垂直に延びるチャネル領域と、
    前記チャネル領域の上に縦方向に形成される第1のソース/ドレイン領域と、
    前記シリコン基板内でチャネル領域に隣接して横方向に形成されたゲートと、
    前記チャネル領域に相対するゲートの側に形成された第2のソース/ドレイン領域とを備える、縦型トランジスタ構造。
  28. 前記シリコン基板が単結晶シリコンから成る請求項27の縦型トランジスタ構造。
  29. 前記第1のソース/ドレイン領域がドレイン領域から成る請求項27の縦型トランジスタ構造。
  30. 前記ゲートが前記チャネル領域を囲む請求項27の縦型トランジスタ構造。
  31. 前記ゲートが前記第1のソース/ドレイン領域を囲む請求項27の縦型トランジスタ構造。
  32. 前記ゲートが前記チャネル領域を囲み、また前記第1のソース/ドレイン領域を囲む請求項27の縦型トランジスタ構造。
  33. 前記第1のソース/ドレイン領域が前記シリコン基板の上に形成される請求項27の縦型トランジスタ構造。
  34. 前記第1のソース/ドレイン領域が前記シリコン基板の内部に形成される請求項27の縦型トランジスタ構造。
  35. 前記シリコン基板が上面を備え、前記第1のソース/ドレイン領域が前記上面の下から縦方向に延びる部分を備え、また前記上面の上から縦方向に延びる別の部分を備える請求項27の縦型トランジスタ構造。
  36. 前記第1のソース/ドレイン領域が前記シリコン基板から上方に延びるエピタキシャルポストを備える請求項27の縦型トランジスタ構造。
  37. 前記第1のソース/ドレイン領域が前記シリコン基板内に形成された拡散領域を備える請求項27の縦型トランジスタ構造。
  38. 前記第1のソース/ドレイン領域の部分が前記シリコン基板内に形成された拡散領域を備え、また前記第1のソース/ドレイン領域の他の部分が前記シリコン基板から上方に延びるエピタキシャルポストを備える請求項27の縦型トランジスタ構造。
  39. 前記シリコン基板から上方に延び、また前記第1のソース/ドレイン領域に電気的に結合されているエピタキシャルポストをさらに備え、前記エピタキシャルポストが縦型トランジスタに対する電気的接触を備える請求項27の縦型トランジスタ構造。
  40. 前記シリコン基板から上方にまた前記第1のソース/ドレイン領域の直接上から延びるエピタキシャルポストをさらに備え、前記エピタキシャルポストが縦型トランジスタに対する電気的接触を備える請求項27の縦型トランジスタ構造。
JP2007530233A 2004-09-01 2005-08-29 半導体の構造とトランジスタ、および半導体の構造とトランジスタとを形成する方法 Pending JP2008511996A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/932,150 US7547945B2 (en) 2004-09-01 2004-09-01 Transistor devices, transistor structures and semiconductor constructions
PCT/US2005/030668 WO2006028775A2 (en) 2004-09-01 2005-08-29 Dram transistor with a gate buried in the substrate and method of forming thereof

Publications (1)

Publication Number Publication Date
JP2008511996A true JP2008511996A (ja) 2008-04-17

Family

ID=35632014

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007530233A Pending JP2008511996A (ja) 2004-09-01 2005-08-29 半導体の構造とトランジスタ、および半導体の構造とトランジスタとを形成する方法

Country Status (8)

Country Link
US (4) US7547945B2 (ja)
EP (2) EP1784858A2 (ja)
JP (1) JP2008511996A (ja)
KR (1) KR100918156B1 (ja)
CN (1) CN100583414C (ja)
SG (1) SG155882A1 (ja)
TW (1) TWI287270B (ja)
WO (1) WO2006028775A2 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
DE102005051417A1 (de) * 2005-10-27 2007-05-03 X-Fab Semiconductor Foundries Ag Simulations- bzw. Layoutverfahren für vertikale Leistungstransistoren mit variierbarer Kanalweite und variierbarer Gate-Drain-Kapazität
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7745319B2 (en) * 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8072345B2 (en) * 2008-02-14 2011-12-06 Darren Gallo Electronic flare system and apparatus
KR101145396B1 (ko) * 2008-04-04 2012-05-16 에스케이하이닉스 주식회사 수직게이트 형성 방법 및 그를 이용한 반도체장치 제조방법
KR100983693B1 (ko) * 2008-04-10 2010-09-24 주식회사 하이닉스반도체 고집적 반도체 장치 내 수직형 트랜지스터의 제조 방법
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR101014855B1 (ko) * 2008-12-22 2011-02-15 주식회사 하이닉스반도체 실린더형 커패시터 형성 방법
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device
KR101061321B1 (ko) * 2009-03-02 2011-08-31 주식회사 하이닉스반도체 융기된 랜딩 플러그 콘택을 갖는 새들 핀 트랜지스터 및 그형성 방법
KR101075492B1 (ko) * 2009-03-23 2011-10-21 주식회사 하이닉스반도체 수직트랜지스터를 구비한 반도체장치 및 그 제조 방법
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
KR101927991B1 (ko) * 2012-07-16 2018-12-12 에스케이하이닉스 주식회사 수직형 반도체 소자, 그 소자를 갖는 모듈과 시스템, 및 그 소자의 제조 방법
TWI560481B (en) * 2012-10-29 2016-12-01 Hon Hai Prec Ind Co Ltd Photoelectric converting module
CN106611763B (zh) * 2015-10-21 2019-06-14 华邦电子股份有限公司 存储器装置及其制造方法
US10002962B2 (en) 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
US9799765B1 (en) 2016-06-29 2017-10-24 International Business Machines Corporation Formation of a bottom source-drain for vertical field-effect transistors
US9847337B1 (en) 2016-12-27 2017-12-19 Micron Technology, Inc. Memory arrays comprising ferroelectric capacitors
US10553703B2 (en) * 2017-05-19 2020-02-04 Micron Technology, Inc. Array of elevationally-extending transistors and a method used in forming an array of elevationally-extending transistors
CN108461496B (zh) * 2018-05-09 2023-09-29 长鑫存储技术有限公司 集成电路存储器及其形成方法、半导体集成电路器件

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03219677A (ja) * 1990-01-24 1991-09-27 Fujitsu Ltd 半導体装置
JP2002510147A (ja) * 1998-01-14 2002-04-02 インフィネオン テクノロジース アクチエンゲゼルシャフト パワーmosfet
JP2002184958A (ja) * 2000-12-14 2002-06-28 Sony Corp 半導体装置およびその製造方法
JP2003017585A (ja) * 2001-06-29 2003-01-17 Toshiba Corp 半導体記憶装置およびその製造方法

Family Cites Families (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) * 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) * 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (de) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk Verfahren zur herstellung einer halbleiteranordnung
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US5108938A (en) * 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
KR930006930B1 (ko) 1990-04-19 1993-07-24 태흥산업 주식회사 트리스아조 직접염료의 개선된 제조방법
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) * 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5122848A (en) * 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) * 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) * 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
KR0141218B1 (ko) * 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
ATE274585T1 (de) * 1993-12-27 2004-09-15 Kirin Brewery Dna - kette zur synthese von xanthophyll und prozess zur herstellung von xanthophyll
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
DE19519160C1 (de) * 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
KR20000015822A (ko) 1996-05-21 2000-03-15 칼 하인쯔 호르닝어 박막 다층 콘덴서_
DE19620625C1 (de) 1996-05-22 1997-10-23 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JPH1022476A (ja) * 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) * 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW308727B (en) * 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
TW304290B (en) * 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) * 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) * 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) * 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6150687A (en) * 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6072209A (en) * 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6191470B1 (en) * 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) * 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US5963469A (en) * 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) * 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US6188996B1 (en) * 1998-05-22 2001-02-13 Pitney Bowes Inc. System for metering permit mail
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP4322330B2 (ja) * 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
DE19845003C1 (de) * 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) * 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6383861B1 (en) * 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) * 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) * 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) * 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6399490B1 (en) * 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) * 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10038728A1 (de) * 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) * 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6301726B1 (en) 2000-11-27 2001-10-16 Robert Pena Method of installing a bathtub
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US6570207B2 (en) * 2000-12-13 2003-05-27 International Business Machines Corporation Structure and method for creating vertical capacitor and anti-fuse in DRAM process employing vertical array device cell complex
US6864536B2 (en) * 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6531727B2 (en) * 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
KR100388682B1 (ko) * 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) * 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6632723B2 (en) 2001-04-26 2003-10-14 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) * 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) * 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) * 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) * 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) * 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) * 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
AU2003247513A1 (en) * 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6756625B2 (en) * 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) * 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) * 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US6853252B2 (en) 2002-10-04 2005-02-08 Intersil Corporation Phase-lock loop having programmable bandwidth
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US7250650B2 (en) * 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6720232B1 (en) * 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
US7105406B2 (en) * 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) * 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) * 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) * 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) * 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) * 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060108667A1 (en) * 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) * 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
EP1870010A4 (en) 2005-03-25 2008-07-23 Toshiba Tec Kk ELECTRICAL CLEANING SYSTEM
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7214621B2 (en) * 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (ja) * 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
KR100843139B1 (ko) * 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) * 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) * 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) * 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) * 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7732275B2 (en) * 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7495282B2 (en) * 2007-01-12 2009-02-24 Sandisk Corporation NAND memory with virtual channel
US7619311B2 (en) * 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) * 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
US7759193B2 (en) * 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03219677A (ja) * 1990-01-24 1991-09-27 Fujitsu Ltd 半導体装置
JP2002510147A (ja) * 1998-01-14 2002-04-02 インフィネオン テクノロジース アクチエンゲゼルシャフト パワーmosfet
JP2002184958A (ja) * 2000-12-14 2002-06-28 Sony Corp 半導体装置およびその製造方法
JP2003017585A (ja) * 2001-06-29 2003-01-17 Toshiba Corp 半導体記憶装置およびその製造方法

Also Published As

Publication number Publication date
US8120101B2 (en) 2012-02-21
SG155882A1 (en) 2009-10-29
TWI287270B (en) 2007-09-21
US7825462B2 (en) 2010-11-02
EP2267769A3 (en) 2011-08-24
US7501684B2 (en) 2009-03-10
EP1784858A2 (en) 2007-05-16
US7547945B2 (en) 2009-06-16
CN100583414C (zh) 2010-01-20
WO2006028775A3 (en) 2006-04-27
US20080142882A1 (en) 2008-06-19
EP2267769A2 (en) 2010-12-29
TW200633137A (en) 2006-09-16
CN101057322A (zh) 2007-10-17
US20060043449A1 (en) 2006-03-02
KR20070034131A (ko) 2007-03-27
US20060261393A1 (en) 2006-11-23
KR100918156B1 (ko) 2009-09-17
WO2006028775A2 (en) 2006-03-16
US20110012182A1 (en) 2011-01-20

Similar Documents

Publication Publication Date Title
US8120101B2 (en) Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors
JP5383049B2 (ja) 垂直方向のチャンネルを有するアクセス素子、これを含む半導体装置、及びアクセス素子の形成方法
US8624350B2 (en) Semiconductor device and method of fabricating the same
JP2643255B2 (ja) Dramセルおよびその製作方法
US7696567B2 (en) Semiconductor memory device
US9153654B2 (en) Semiconductor device with buried bit line and method for fabricating the same
US20100052029A1 (en) Transistor structure and dynamic random access memory structure including the same
US6349052B1 (en) DRAM cell arrangement and method for fabricating it
TW202143391A (zh) 具有氣隙結構的半導體元件結構及其製備方法
KR20120078917A (ko) 반도체 소자 및 그 형성 방법
US8653575B2 (en) Semiconductor device having vertical gate including active pillar
GB2276980A (en) Semiconductor device stacked capacitor and method of manufacture
TW202243113A (zh) 積體電路裝置
KR102400382B1 (ko) 반도체 dram 셀 구조 및 그 제조 방법
KR100955175B1 (ko) 수직형 반도체 소자 및 그 제조 방법
KR20240012340A (ko) 메모리 셀 구조

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110518

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110926

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110926

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120403