TWI287270B - Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors - Google Patents

Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors Download PDF

Info

Publication number
TWI287270B
TWI287270B TW094130193A TW94130193A TWI287270B TW I287270 B TWI287270 B TW I287270B TW 094130193 A TW094130193 A TW 094130193A TW 94130193 A TW94130193 A TW 94130193A TW I287270 B TWI287270 B TW I287270B
Authority
TW
Taiwan
Prior art keywords
source
semiconductor substrate
substrate
gate
region
Prior art date
Application number
TW094130193A
Other languages
English (en)
Other versions
TW200633137A (en
Inventor
Sanh D Tang
Gordon A Haller
Kris K Brown
Allen T Earl Iii
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200633137A publication Critical patent/TW200633137A/zh
Application granted granted Critical
Publication of TWI287270B publication Critical patent/TWI287270B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

1287270 九、發明說明: 【發明所屬之技術領域】 、丰本發明係關於形成諸如記憶體電路之半導體構造的方 ^且更特定言之’本發明係關於形成記憶體單元、動態 通機存取S己憶體(DRAM)、及電晶體的方法。 〜 【先前技術】 體路之大小繼續縮小’故正在努力找出形成積 使=;:=關積體電路的新方法,該等新方法對目前 彼專方法及藉此所形成之結果結構加以改良…種 積體電路為記憶體電路與陣列。此f & p ^ 電路已且 速产—该夺努力要減小該電路大小1高此電路運作 二:二维持或提高該電路執行其記憶體功能的能力。行 二的方斷地搜尋不犧牲陣列效能而減小記憶體電路 大小的方法。 計為改良併入記憶體電路中之電晶體結構的設 兴例而丄組、。構或裝置具有許多用於半導體電路之應用。 電晶體結構可併人記憶體電路(諸如,例如, 、雨:y存取記憶體(DRAM))及邏輯電路中。DRAM電路 分別地被互連之一記憶體單元陣列,該等列及行 體單元=為子線及數位線(位元線)。一典型DRAM記憶 次二3電晶體結構’該電晶體結構與一電荷儲存裝 置或資料儲存亓杜于衣 並 件(5者如,例如,一電容器裝置)相連接。 ^ ^騣,、Ό構包含一在一對源極/汲極區域之間的通 逍跑崎及一"έ<Τ< JCy m 、、二配置以經由該通道區域將源極/汲極區域電 104564.doc 1287270 連接至彼此的閘極。在半導體構造中所使用之電晶體構造 將由半導體基板支撐。該半導體基板將具有一主要表 p亥表面了被視為用以界定一水平方向或水平表面。根 據通道區域相對於半導體基板之主要表面的方位,電晶體 裝置可被分成兩個廣泛的種類。特定言之,具有主要與基 板之主要表面平行之通道區域的電晶體結構被稱為平面電 晶體結構,且彼等具有與基板之主要表面大體上垂直之通
道區域的電晶體被稱為垂直電晶體結構。由於在一電晶體 裝置之源極與汲極區域間之電流穿過通道區域出現,故可 根據電流方向以及通道區域大體方位區別平面電晶體裝置 與垂,電晶體裝置。特m,垂直電晶體裝置為其中在 々等裝置之源極與汲極區域之間t流冑質上i要與半導體 基板之主要表面成直角之此等裝f,且平面電晶體裝置為 其中在源極與汲才亟區之域間電流主要與料導體基板之主 要表面平行之此等裝置。 由於(尤其是)相對於平面電晶體裝置可利用垂直電晶葡 4置而獲侍封裝密度之優點,存在對方法之發展持續之夢 趣二藉此等方法垂直電晶體裝置可併入積體電路應用中。 ,圖製迈用於半導體應用所要之垂直電晶體裝置的大量 陣列而同時保持該等裝置之適當效能特徵時經常遭遇困 難。舉例而言,用於形成垂直電晶體裝置之現有方法包含 夕柱或柱子⑽lar)或使其成長以自半導體基板 ,水平表面向上擴展。該磊晶矽柱或柱子在垂直電 晶體裝置之本設計中料電㈣通道。“,此設計產生 I04564.doc I287270 若干問題。舉例而言,由於潛在單元茂漏問題而產生一高 缺陷密度。此外,該設計在電晶體通道中促進浮體效應, 該效應使控制該電晶體之閘極臨限電麼複雜化且增加了難 度。因此,需要發展用於製造垂直電晶體襄置之新方法, 該等方法改良且/或至少減小或減輕此等問題。 【發明内容】 在一態樣中,本發明包含一電晶體裝置 包含:半導體基板。該裝置亦包含—閘極,形成此= 在该半導體基板内擴展,在該閉極上方形成一閉極極介電 質,在該閘極之相對側面上形成一對源極/汲極區域,且 在該半導體基板内形成一通道區域。 在另一態樣中,本發明包含一電晶體裝置,該電晶體裝 置包含具有一上表面之一半導體基板。在該半導體基板内 形成一對源極/汲極區域。在該半導體基板内形成一通道 區域且該通道區域大體上相對於該半導體基板之上表面垂 直地擴展。在該對源極/汲極區域之間形成一閘極。 在另一態樣中,本發明包含一半導體構造,該半導體構 k包έ自半導體基板之一上表面向上擴展的一導電柱。在 。亥半導體基板内在該導電柱下方形成一源極/汲極區域且 該區域與該導電柱電耦接。一電晶體通道在源極/汲極下 方擴展且在該半導體基板内鄰近該電晶體通道形成一閘 極0 在另一態樣中,本發明包含一形成半導體構造之方法, 忒方法包含提供具有一開口之一半導體基板。在該半導體 I04564.doc 1287270 基板上方在該開口内形成一氧化膜。在該氧化膜上方提供 -導電閘極材料且充滿該開口。在該半導體基板内在該閘 極材料之相對側面上形成一對擴散區域且界定一通道區域 以在該半導體基板内大體上垂直地擴展。 【實施方式】 本發明之揭示内容已提交進一步考慮美國專利法之憲法 目的’’為促進科學與有用技術之進步,,(第一章,第八段)。 就記憶體積體電路而言,在一記憶體陣列中每一記憶體 單元所需基板之上的面積部分地確定裝置容量。此面積為 每一記憶體單s中元件數量與每一元件之大小的函數。對 於習知記憶體單元而言,該面積規定為8F2,其中F表示光 微影界定特徵之最小特徵大小且習知單元面積大小為2F乘 4F。藉由參考美國專利申請公開案第2〇〇3/〇2344ι4 μ號 (出版於2003年12月25曰)使得此等記憶體單元大小與面積 易於理解,該公開案之揭示内容以引用的方式併入本文 中美國專利申凊公開案第2003號/0234414 A1號揭示了目 則發展水平之記憶體裝置,其中記憶體單元具有約4F2之 單元面積。藉由回顧美國專利申請案第2〇〇3/〇234414號且 藉由比車乂此揭示案與本發明之揭示内容,應瞭解本發明揭 示包έ約4F之记憶體單元面積的記憶體電路。 現在參看圖1及圖2(圖2為圖橫截面),半導體構造1〇 包含具有一主要表面13之一基板12,該主要表面13大體上 水平疋向且或者可被描述為一上表面。基板12可包含單晶 半V心材料(大體上由其組成或由其組成),且在特定態樣 104564.doc 1287270 中將包含輕微摻雜有適當 體上由盆扣m * ㈣劑的單晶石夕(或大 曰石夕1其組成)。舉例而言,基㈣可為一單 日日石夕晶圓之一部分。Λ _ 门平 ”半導 ”,、 次月以下申請專利範圍,術語 牛v電基板”與,,半導體 材料的構造,半導〜心“味任何包含半導電 ㈣或Λ人甘含(但不限於)諸如半導電晶園 雷5 L U材料的總成中)的塊狀半導電材料及半 =材料㈣獨或在包含其它材料的總成中)。術語”基板 一曰Λ何支^結構’其包含(但不限於)上述半導電基板。在 Τ範f± ^射’基板12包含塊狀半導體基板或塊狀晶 圓,例如,一單晶矽基板或晶圓。 外、、、:> 看圖1至2,在基板12内形成隔離區域14。在一示 乾性貫施例巾,隔離區域14包含㈣溝隔離(STI)[I域。該 同離區域14大體上平行且間隔列而擴展,從而在隔離區域 ^個別列間形成基板! 2之區域i 6。基板i 2之區域玉6由隔 離區域14界定且經組態為具有上表面13的平行及間隔列。 參看圖3及圖4,(圖4為圖3之一橫橫截面),一氮化物層 18沉積於基板12之上表面13與隔離區域14上方。氮化物層 18之一示範性厚度(亦即,氮化物層18自上表面13向上擴 展之兩度)在自約2,000埃至約3,〇〇〇埃的範圍内。 參看圖5至圖8,應瞭解所有四圖表示同一處理步驟。圖 5至圖6表示一第一方位且圖7至圖8表示一第二方位,該第 二方位定向於自圖5至圖6之方位90度。將氮化物層18圖案 化且餘刻以形成渠溝2〇(圖8),該渠溝20擴展下至基板12以 曝露基板12之上表面部分22。渠溝20亦曝露隔離區域14之 104564.doc -10- 1287270 隔離區域部分24。留下氮化物層18將其圖案化為氮化物列 或抓道(runner)】8 ’其大體上以定向於垂直於隔離區域14 之方向之間隔與平行關係而擴展。基板12之上表面部分22 大體上由隔離區域14之隔離區域部分24與氮化物列18來限 制邊界’且上表面部分22大體上形成正方形。在-示範性 實施例中,I虫刻步驟包含將基板過度姓刻至自〇至約3〇〇埃 的範圍内。 參看圖9至圖12,蝕刻隔離區域部分24以使在基板^之 上表面#刀22下方一疋尚度處之隔離區域14凹陷,從而留 下隔離區域14之凹陷表面26(圖1〇)。在一示範性實施例 中,該蝕刻過程包含一反應性離子蝕刻(rie)且將對氮化 物流道18及基板12之曝露矽(例如,上表面部分22)而言具 有選擇性。該凹陷蝕刻曝露基板12之側壁27,該側壁”原 先由隔離區域14之絕緣材料所覆蓋。該隔離區域14在上表 面部分22下方自約500埃至約15〇〇埃的範圍内凹陷,其中 另一示範性凹陷範圍為約800埃至約丨5 〇〇埃。在一示範性 實施例中,在凹陷表面26與上表面部分22之間的凹陷距離 等於約1〇〇〇埃。執行一清洗蝕刻以自側壁27及基板12之上 表面部分22上方移除殘純化物,纟中一示範性清洗钮刻 為一濕式氫就酸(HF)餘刻。 參看圖13至圖16,在基板12之上提供一氮化物襯墊“及 在該氮化物概塾28上形成之結構以保護隔離區域14之曝露 部分(例如,圖9至圖12中所說明之凹陷表面%)。在一示範 性實施例中,氮化物襯墊28之厚度在自約3〇埃至約1〇〇埃 I04564.doc I287270 範圍内。提供一犧牲層30(例如,旋塗氏玻璃(s〇g))層以 填充氮化物流道18之間的渠溝20。用於犧牲層3〇之其他示 範性材料包含硼磷矽玻璃(BPSG)與/或_TE〇s層。執行一 • 平面蝕刻以使該S〇G層30平坦直至該平面蝕刻在氮化物列 • 丨8停止,其中氮化物列18充當一蝕刻終止層。一示範性平 面餘刻包含CMP(化學機械研磨法)處理。 苓看圖17至圖20,將該SOG層30圖案化且選擇性地將其 • 蝕刻以移除部分S0G層,從而形成穿過該SOG層30之開 口 3 1以曝露基板丨2之上表面部分22之上的氮化物襯墊。 氮化物襯墊28之已曝露部分的示範性組態為正方形。部分 SOG層30保持塔形,其在氮化物流道18之間自基板12向上 擴展,其中該塔形之示範性組態為長方形。將氮化物襯墊 28之曝露部分移除以曝露基板12之上表面部分22。用以將 部分氮化物襯墊28自上表面部分22之上移除的一示範性蝕 刻包含一選擇性氮化物蝕刻。在將部分氮化物襯墊28自上 • 表面部分22之上移除之後,開口 31擴展至上表面部分以且 開口 3!由S0G層30之塔形與氮化物列18界定或確定邊界。 一示範性選擇性氮化物蝕刻將自〇埃至約3〇〇埃過度蝕刻氮 化物(例如,氮化物列18)且較佳地在矽基板12處停止。在 一不範性實施例中,基板12之曝露上表面部分22界定基板 12之大體表面區域,其將用作或充當用於隨後形成之裝置 與/或結構的有效區域。 芩看圖2 I至圖24,在矽基板】2上形成一毯覆式絕緣層 (例如一 TEOS層)且其填充開口 31。各向異性蝕刻示範性 104564.doc 1287270 TEOS層以在氮化物列18與§〇(3層儿之上形成犧牲丁ε〇§間 ^ 示範性餘刻包含一反應式離子餘刻,從而使得 犧牲TE0S間隔層34自氣化物列18與8〇(}層3〇之側面自約 ’ 〇矣至力500埃検向擴展。該犧牲間隔層使開口 , 31縮小,從而大體上形成圓柱體開口 32,從而曝露上表面 P刀22之較小表面面積。在一示範性實施例中,tE〇s 間隔層34改良可能用於在矽基板之上表面部分22上或上面 • 所提供之隨後所形成的結構之關鍵大小。 參看圖25至圖28,在一些(但非全部)實施例中,在石夕基 板12上提供一氮化物材料以填充圓柱體開口 32且隨後各向 異性蝕刻該氮化物材料以在犧牲TE〇s間隔層34上形成另 氮化物襯墊36(第一氮化物襯墊為28)。一示範性各向異 性蝕刻將提供一氮化物襯墊36,其具有自約5〇埃至約2〇〇 矣範圍内之厚度。在各向異性蝕刻以形成氮化物襯墊36之 後,執行一反應性粒子蝕刻以自矽基板12之上表面部分22 瞻之上移除氮化物襯墊36,其中矽基板丨2之上表面部分22再 -人被曝路。在一不範性實施例中,該氮化物襯墊36在隨後 蝕刻處理期間與/或在隨後矽化處理期間將保護TE〇s間隔 層34 〇 苓看圖29至圖32,在一示範性實施例中,可執行進一步 蝕刻與平面化處理以將氮化物列18與§〇(}層3〇之上表面相 對於矽基板12而降低一定高度至上表面部分22上方之一預 選海拔或高度。氮化物列18及8〇(3層3〇之此預選高度有助 於相對基板1 2而隨後形成之磊晶結構的一預選高度之形 I04564.doc 1287270 成。形成柱或柱子38使其經由圓柱體開口 32自矽基板12之 曝露上表面部分22向上擴展。在一示範性實施例中,柱或 柱子38包含磊晶矽,其自矽基板12之曝露上表面部分22成 長或形成。柱38具有上表面39且,在一示範性實施例中, 在氮化物列18之上表面47下方一定高度處形成上表面39, 其中高度差為約1,000埃至約L500埃。示範性柱38包含約 1,000埃至約1,500埃之高度(自約上表面部分22至上表面39 里測)。或者,磊晶矽柱3 8之一示範性高度可根據相對於 自矽基板12擴展之氮化物列ι8之高度的一百分比高度關係 來考慮。舉例而言,形成磊晶矽柱38以自上表面部分22擴 展至氮化物列18之高度之約50%至約7〇%内,及氮化物列 18之高度之60%至約65%的進一步示範性範圍。在一些實 施例中,磊晶矽柱38將用作或充當在一電荷儲存裝置或資 料儲存元件(諸如,例如,一電容器裝置)與在隨後處理中 形成之一電容器(下面將較徹底地解釋)之間的電接點。或 者認為,柱38將用作或充當一節點區域,例如一源極/汲 極區域,隨後將較詳細地討論。 用以形成磊晶矽柱38之一示範性替代方法為在基板12之 上沉積—導電材料’其中將圓柱體開口 32充滿該導電材 料。在此替代方法中’圓柱體開口32之向外擴展之導電材 料藉由示範性平面或毯覆式触刻移除,較佳地向下至氮化 物列18之上表面47。隨後該導電材料凹陷至圓柱體開口 μ ^從而使得該導電材料在氮化物列18之上表㈣下方一 疋同度處,JL —示範性高度差為約U00埃至約。 104564.doc 14 1287270 —示範性導雷# …將在=τ雜或摻雜複…其中未穆雜 1中之某階段被摻雜。 仍參看圖29至, 一傳圖32,執行一傳導性植入(未圖示 傳w生摻雜劑至基㈣之上 ) 域或節點4 1。声分 1刀22宁以形成擴散區 暮Η μ植入方法之一示範性實施例中,將爷傳 上:::叫 劑保持在導性摻雜劑。或者一部分該傳導性摻雜 點41之==t得柱I8導電,從而形成擴散區域或節 .、 不乾性擴散區域41包含源極/汲極區域, 地換雜^極£域。在另一示範性實施例中,柱38為傳導性 旦不形成擴散區域或節點41之一部分,且因此在隨 <形成的電晶體與電容器之擴散區域或節點41之間形成電 接點。在另一示範性實施例中’柱38及擴散區域41包含一 電晶體之一對源極/沒極區域之一的全部,其中㈣電搞 接至隨後形成之電容器。在一示範性處理方法中,執行一 傳導性植入(未圖示)以將一傳導性摻雜劑大體上提供至僅 柱38中且奴後將柱38退火以將傳導性摻雜劑自柱μ向外擴 散至矽基板12中以形成擴散區域41之至少—部分。在替代 性示範性實施例中,不形成擴散區域41,其中執行一傳導 性植入(未圖示)以將一傳導性摻雜劑大體上提供至僅柱U 中,其中柱38包含一對源極/汲極區域之一的全部。或 者,擴散區域4 1包含一對源極/汲極區域之一的一部分且 柱3 8包含一對源極/汲極區域之一的另一部分。 應瞭解,示範性柱38在形狀上大體上為環形的或圓柱 104564.doc • 15 - 1287270 的且在視情況而形成之氮化物襯墊36與/或te〇S間隔層 34 =間可或不可具有空間。在基板12之上與圓柱體開口 W 内提供一氮化物材料4〇以填充柱38、氮化物襯墊刊與/或 • 丁^〇§間隔層34之間任何空間,且在柱38及S0G層30之上 供氮化物材料40。回蝕氮化物材料40以形成上表面49, 该上表面49在S0G層30之上表面37下方且在氮化物流道18 之上表面47下方一定高度處凹陷(氮化物材料40係展示為 • 已併入之可選氮化物襯墊36)。用以使氮化物材料4〇凹陷 的不乾性蝕刻包含一平面或毯覆氏反應性粒子蝕刻,其使 虱化物材料40凹陷以曝露S0G層30及TE0S間隔層34。一 示範性氮化物材料40為一犧牲性層,其用作一障壁或硬式 光罩40以在後續處理期間以保護磊晶矽柱%,例如移除 S0G層30及丁EOS間隔層34。 芩看圖33至圖36,執行一濕式或氣相蝕刻以移除s〇G層 30及TE0S間隔層34,且較佳地完全地移除s〇G層3〇及 • TE〇S間隔層34。—示練㈣包含-選擇性餘刻以在氮 化物及矽材料(諸如,氮化物襯墊28、硬式光罩4〇、氮化 物流道1 8及矽基板1 2之上表面部分22)上停止蝕刻。該選 擇性蝕刻形成開口 42,其由氮化物襯墊28、柱38(包含硬 式光罩40)與氮化物流道18界定。示範性選擇性蝕刻包含 一稀釋氫氟酸蝕刻及/或一緩衝氧化蝕刻。 參看圖37至圖40,執行一乾氏/濕氏氮化物衝壓蝕刻以 自隔離區域14、矽基板12與上表面部分22之上移除氮化物 襯墊28。該衝壓蝕刻亦自柱38移除部分硬式光罩4〇。在一 104564.doc 16 1287270 不棘性實施例中,直接在柱38上之硬式光罩40之厚度大體 上大於柱38側面上之硬式光罩4〇的厚度,以允許該衝麼餘 刻自柱3 8私除硬式光罩4〇之側面部分,同時在柱u直接上 方留下硬式光罩40之一實質部分。 仍參看圖3 7至圖40,執行一選擇性乾氏|虫刻以移除基板 12之鄰近柱38的上表面部分22且向下蝕刻至隔離區域14。 該選擇性蝕刻亦移除部分隔離區域14且使得部分矽基板Η • 保持直接地位於柱38下方或之下,且此部分矽基板12被稱 作矽支撐結構46。示範性矽支撐結構46大體上為環形或圓 柱體形狀,其與在矽支撐結構46上方一定高度處擴展之柱 3 8相似。該選擇性蝕刻增大開口 42以形成開口料,該開口 44之底部周長由矽支撐基結構扑、矽基板12之上表面料與 隔離區域14之上表面50界定。在一示範性實施例中,該衝 壓蝕刻將蝕刻或矽基板1 2或使其凹陷,以使其稍位於隔離 區域14之上表面50下方,從而使得上表面判位於上表面5〇 •下方一定高度處。 仍參看圖37至圖40,在矽基板12之曝露部分及柱38之曝 路部为上形成一絕緣膜5 2 (例如,一氧化物)。石夕基板丨2之 曝露部分包含由上表面48與矽支撐結構46界定之開口 44的 底部外圍。柱3 8之曝露部分包含柱3 8之側壁。在一示範性 實施例中,絕緣膜52將包含二氧化矽且絕緣膜52用作或充 當用於隨後形成之電晶體的一閘極氧化物或閘極介電質。 形成閘極介電質52之一示範性方法包含在上表面48、矽支 禮基板46與柱38之側壁之曝露矽表面上生成氧化物。 104564.doc 1287270 在一不範性實施例中,矽支撐結構46將用作或充當用於 Ik後形成之電晶體的部分通道。因此,矽支撐結構46之長 度(自柱3 8之底部至上表面48量測)將大體上界定一隨後形 成之電晶體通道46之一垂直長度。然而,由於該電晶體通 道46以大體上相對於基板12之方向垂直或正交的反向擴 展,且或者陳述為,由於電晶體通道46垂直於基板12之水 平或主要上表面而擴展(上表面部分22未圖示但作為在柱 # 38與基板12之間的界面而存在),故在示範性實施例中該 電晶體通道46將界定一示範性垂直電晶體設計。此外,在 不犯性實施例中,示範性垂直電晶體設計將包含垂直周圍 電晶體或垂直周圍閘極電晶體。應瞭解,電晶體通道 46(或者稱為垂直通道46)之長度將取決於該選擇性蝕刻處 理步驟,例如,選擇性蝕刻被允許移除及蝕刻至矽基板P 中的時間長度(意即,選擇性蝕刻至基板12中之深度)。 參看圖41至圖44,一導電材料沉積於閘極介電質^上且 ϋ 該導電材料將用作或充當電晶體閘極或字線54。形成用於 電晶體閘極54之導電材料之—示範性方法包含在開口彻 沉積多晶石夕材料、藉由CMP處理移除部分該多晶石夕材料下 至氮化物流道18、且隨後在開口 44内使該多晶矽材料凹陷 至磊晶矽柱38下方。舉例而言,在磊晶矽柱%之上表面” 下方咼度約1,000埃處形成電晶體閘極54之一上表面Μ。 在-示範性實施例中,使電晶體閘極54之多晶石夕材料凹陷 以在基板12之-上表面下方(例如,在柱38與基板12間之 界面)-定高度處形成上表面55。在電晶體閘極54上形成 I04564.doc 1287270 -可選碎化物層(未圖示),其中示範性⑪化物包含石夕化鈦 與矽化鈷。 參看圖45至圖48,切基板12、閘極結構M、蠢晶石夕柱 38及氣化物流道18上形成一絕緣材料或㈣。絕緣層兄充 滿開口 44。示範性絕緣層56包含旋塗式玻璃層及丽 層。藉由CMP或其他平面_方法移除絕緣層乂之最外部 分,以曝露氮化物流道18,從而使得絕緣層56在各自氮化 物流道之間以線組態擴展。接著,將氮化物流扣圖案 化且將其選擇性地餘刻以形成開口62,從而使其經由部分 氮化物18而擴展以曝露基板12之上表面部分58。應瞭解, 部分氮化物流道丨8保持自基板12向上且在該基板12上擴 展。石夕基板Π之示範性上表面部分58大體上經組態為正方 形且由絕緣層56與保持於石夕基板12上的部分氣化物流道Μ 作為邊界或由其圍繞。執行—傳導性植人(未圖示)以提供 一傳導性換雜劑至基板12之上表面部分财,以形成有效 區,59 ’例如,擴散區域或節,點。在一示範性實施例中, 擴散區域5 9將包含用於例如電晶體之隨後形成之裝置的源 Τ :及極區域59。在另一示範性實施例中,擴散區域%將 - 極/;及極區域以補充擴散區域或節點4】且與其操作 -乍示範性擴散區域5 9包含一對源極/ >及極區域之 一,例如,源極區域。 翏看圖49至圖5〇,此根據在圖】至圖料之處理階段之後 之處理階段(例如,在圖45至圖48之處理階段之後)的一 些示蔚柯镑t , 注貝轭例說明了一半導體構造1〇〇。圖衫表示類似 J04564.doc 19 !28727〇 二圖纟Ik後處理階段之視圖方位之半導體構造⑽的 -視圖方位。圖50表示類似於圖48在—隨後處理階段之視 2方位之半導體構造100的一視圖方位。應瞭解,圖5〇為 圖49之半導體構造1〇〇的視圖,且其自圖49之視圖之方向 紅轉90度。圖49至圖50說明示範性電晶體裝置,其與示範 f生電何儲存裝置或貧料儲存元件(例如,電容器裝置)電麵 接。電晶體及電容器之此示範性組合表示包含諸如d_ 之記憶體單元的記憶體及/或邏輯電路…示範性電 裝置大體上參考為數字69且一示範性電荷儲存聚置或資^ 儲存元件(例如,一電容裝置)大體上參考為數字8〇。 一不範性電晶體69包含一閘極54,一閘極介電質52,及 源極/汲極區域41及59(圖50)。示範性電晶體69進一步包含 大體上表示為基板12之區域的一通道,其中在圖对說明 電流71在閘極54周圍(及閘極介電質52)自源極/汲極區域” 至源極/汲極區域41擴展。該通道之一示範性部分包含矽 支祛結構4 6,其在源極/汲極區域41下方一定高产處直接 擴展。由矽支撐結構46界定之示範性通道部分為矽基板12 之圓柱體或環形部分。閘極54大體上垂直地向下擴展至基 板12中,大體上與矽基板12之一上表面垂直(上表面大體 上表示為源基/汲極區域41及59之水平頂線,例如,在柱38 與源極/汲極區域4 1之間之界面)。閘極54由閘極介電質52 間隔開且自石夕基板1 2絕緣。閘極5 4相對石夕基板I 2垂直地擴 展。然而,應瞭解,閘極54圍繞或包圍由矽支撐結構46界 定之通道部分。因此,一示範性閘極54將界定用於一垂直 104564.doc -20- 1287270 電晶體(例如」—垂直周圍閘極電晶體)之一垂直周圍閘 極。在-不範性實施例中,若柱38係界定為電接點而非源 極/汲極區域’則在矽基板或塊狀晶圓12内形成電晶體的 t全部。或者陳述為,在晶圓12之一最上表面上或其下方 形成電晶體69。 示範性源極/汲極區域41包含汲極區域;示範性源極/汲 極59包含源極區域。在一示範性實施例中,一單一源極/ _ 汲極區域59將包含用於電晶體69之源極區域之全部。在另 一示範性實施例中,在閘極54之相對側面上所形成之一對 源極/汲極區域59將包含用於電晶體69之源極區域之全 部。在一實施例中,激活電晶體69產生電流71,該電流71 自源極區域59向下穿過在閘極54之底端下方與周圍的矽基 板12且向上回來穿過通道區域46且到達汲極區域41。在圖 45至圖48之後的處理期間,將直接地位於柱38上方之硬式 光罩4 0移除且將直接地位於硬式光罩4 〇上方之部分絕緣層 56移除以曝露柱38之上表面。在柱38之上形成導電材料 102且該導電材料1〇2與柱3 8接觸以形成一電接點。示範性 導電材料102為多晶石夕以形成多晶秒塞或單元塞1〇2,甘用 於經由柱38將電晶體69電耦接至隨後形成之裝置(例如, 電容器80) 〇 一示範性電容器80包含一底部單元板或儲存節點72、在 儲存節點72上的一電容器介電質73,與電容器介電質73上 的一頂部單元板74。由蠢晶石夕柱3 8及多晶石夕塞1 〇2將電容 器80電耦接至電晶體69,其中多晶矽栓塞1〇2連接且被電 104564.doc 21 1287270 粞接至儲存節點72。導電塞61(圖5〇)得以形成,其自源極/ =極區域59向上擴展且與源極/汲極區域59電耦接。導電 塞6 1亦連接分數位線1 Q4以經由源極以極區域μ將數位 線104電㈣至電晶體69。示範性數位線刚包含多晶石夕與 ^或石夕化物層。示範性導電塞61包含摻雜多晶石夕。在導電 塞^、、、邑,’彖層56之間形成絕緣間隔層70(圖50)。示範性絕 緣間隔層70包含I切及/或氧切,例如二氧切。”
半導體構造1GG在電容㈣與電晶體69之間包含中間結 構在數位線部分1〇4上形成氮化物蓋1〇6。在數位線⑽ ^間=成絕緣間隔層11〇且氮化物蓋iG6位於一側面上且多 晶石夕塞⑽位於另一側面上。二氧切層⑽形成於氣化物 蓋1 06上方。 : 法7已或多或少以特定語言關於結構及方法特 ::描:了本發明。“,應瞭解,因為本文所揭示之構 =實轭本發明之較佳形式,所以本發明不限於所展示 :所犏述之特定特徵。因此,本發明係以在根據均等物之 \、田地$明之附加申請專利範圍的適當範4内之其任 何形式或修正的形式來主張。 【圖式簡單說明】 為二半導體構造之概略性頂部平面片段圖,其為本 "之不範性態樣的一初步處理階段。 為圖1片段沿線2-2截取的一橫截面圖。 f :’、、展不圖1片段在圖丨之處理階段之後的處理階段之 J04564.doc -22- 1287270 圖4為圖3片段沿線4·4截取的一橫截面圖。 圖5為展示圖3片段在圖3之處理階段之後的處理階段之 圖〇 圖6為圖5片段沿線6 - 6截取的一橫截面圖。 圖7為圖5片段旋轉90度之圖。 圖8為圖7片段沿線8-8截取的一橫截面圖。 圖9為展示圖5片段在圖5之處理階段之後的處理階段之 圖 圖1 〇為圖9片段沿線1 〇 -1 〇截取的一橫截面圖。 圖11為圖9片段旋轉90度之圖。 圖12為圖11片段沿線1 2 -12截取的一橫截面圖。 圖Π為展示圖9片段在圖9之處理階段之後的處理階段之 圖。 圖14為圖13片段沿線14_14截取的一橫截面圖。 圖15為圖13片段旋轉90度之圖。 _ 圖16為圖15片段沿線16_16截取的一橫截面圖。 圖1 7為展示圖1 3片段在圖丨3之處理階段之後的處理階段 之圖。 圖18為圖17片段沿線8截取的一橫截面圖。 圖19為圖17片段旋轉9〇度之圖。 圖20為圖19片段沿線20-20截取的一橫截面圖。 圖2 1為展示圖1 7片段在圖丨7之處理階段之後的處理階段 之圖。 圖22為圖21片段沿線22_22截取的一橫截面圖。 I04564.doc -23 - 1287270 圖23為圖21片段旋轉90度之圖。 圖24為圖23片段沿線24-24截取的一橫截面圖。 圖25為展示圖21片段在圖21之處理階段之後的處理階段 之圖。 圖26為圖25片段沿線26-26截取的一橫截面圖。 圖27為圖25片段旋轉90度之視圖。 圖28為圖27片段沿線28-28截取的一橫截面圖。 φ 圖29為展示圖25片段在圖25之處理階段之後的處理階段 之圖。 圖30為圖29片段沿線30-30截取的一橫截面圖。 圖31為圖29片段旋轉90度之圖。 圖32為圖31片段沿線32-32截取的一橫截面圖。 圖33為展示圖29片段在圖29之處理階段之後的處理階段 之圖。 圖34為圖33片段沿線34-34截取的一橫截面圖。 Φ 圖35為圖33片段旋轉9〇度之圖。 圖36為圖35片段沿線36_36截取的一橫截面圖。 圖37為展示圖33片段在圖33之處理階段之後的處理階段 之圖。 圖38為圖37片段沿線38_38截取的一橫截面圖。 圖39為圖37片段旋轉9〇度之圖。 圖40為圖39片段沿線40-40截取的一橫截面圖。 圖4〗為展示圖37片段在圖37之處理階段之後的處理階段 之圖。 104564.doc 1287270 圖42為圖41片段沿線42-42截取的一橫截面圖。 圖43為圖41片段旋轉90度之圖。 圖44為圖43片段沿線44-44截取的一橫截面圖。 圖45為展示圖4 1片段在圖4 1之處理階段之後的處理階段 之圖。 圖46為圖45片段沿線46-46截取的一橫截面圖。 圖47為圖45片段旋轉90度之圖。 圖48為圖47片段沿線48-48截取的一橫截面圖。 圖49為一半導體構造在本發明之一示範性實施例之最終 處理階段的橫截面片段圖,該最終處理階段為在圖45_48 之處理階段之後的一處理階段。 圖50為圖49片段旋轉90度之圖。 【主要元件符號說明】 10 半導體構造 12 基板 13 主要表面 14 隔離區域 16 區域 18 氮化物層/氮化物列/氮化物流道 20 渠溝 22 上表面部分 24 隔離區域部分 26 凹陷表面 27 側壁 104564.doc •25- 1287270 28 氮化物襯墊 30 犧牲層/旋塗式玻璃(SOG)層 31 開口 32 圓柱體開口 34 TEOS間隔層 36 氮化物襯墊 3 7 上表面 38 蠢晶$夕柱 39 上表面 40 氮化物材料/硬式光罩 41 擴散區域或節點/源極/汲極區域 42 開口 44 開口 46 矽支撐結構/電晶體通道 47 上表面 48 上表面 49 上表面 50 上表面 52 絕緣膜/閘極介電質 54 字線/電晶體閘極/閘極結構/閘極 55 上表面 56 絕緣材料或層 58 上表面部分 59 有效區域/擴散區域/節點/源極/汲 104564.doc -26- 1287270
61 62 69 70 71 72 73 80 100 102 104 106 108 110 導電塞 開口 電晶體 絕緣間隔層 電流 底部單元板/儲存節點 電容器介電質 頂部單元板 電容器 半導體構造 導電材料/多晶石夕塞或单元塞 數位線部分 氮化物蓋 二氧化矽層 絕緣間隔層
104564.doc -27-

Claims (1)

  1. ^^Ob〇193號專利申請案 % 3 义 中文申請專利範圍替換本(96年3月) 、… 十、申請專利範圍·· 1· 一種電晶體裝置,其包含: 一半導體基板;及 一閘極,其在該半導體基板内擴展,一閘極介電質位 於該閘極上,一對源極/汲極區域相對於該閘極之相對側 面而配置,且一通道區域位於該半導體基板内。 2·如請求項1之裝置,其中該閘極介電質、該對源極/汲極 區域、及該通道區域位於該半導體基板内。 3·如請求項1之裝置,其中閘極介電質之一整體,該對源 極/汲極區域之一整體及該通道區域之一整體位於該半導 體基板内。 4·如請求項1之裝置,其中該閘極圍繞該通道區域。 5·如明求項1之裝置,其中該通道區域位於該半導體基板 内該對源極/汲極區域下方一定高度處。 6.如請求項1之裝置,其中該閘極圍繞該通道區域且其中 該閘極圍繞該對源極/汲極區域之一者。 7·如請求項1之裝置,其中該半導體基板包含單晶矽。 8.如請求項丨之裝置,其進一步包含併入一動態隨機存取 記憶體(DRAM)裝置中之電晶體。 9·如請求項1之裝置,其進一步包含一資料儲存元件,其 係電耦接至該電晶體裝置以形成一記憶體單元,該記憶 體單元在該半導體基板上包含一約4F2之面積,其中^表 示光微影界定之特徵的一最小特徵大小。 10· —種電晶體裝置,其包含: 104564-960320.doc 1287270 一半導體基板,其包含一上表面; 一對源極/汲極區域,其位於該半導體基板内; 一通道區域,其位於該半導體基板内且大體上相對於 該半導體基板之該上表面垂直地擴展;及 一閘極,其位於該對源極/汲極區域之間。 11_如請求項10之裝置,其中該通道區域在該對源極/汲極區 域之一者的下方直接擴展。 參 I2·如請求項10之裝置,其中該通道區域在該對源極/汲極區 域之一者的下方直接擴展,且其中該閘極位於該半導體 基板内且該閘極圍繞該通道區域並圍繞該對源極/汲極區 域之一者。 13. 如請求項10之裝置,其中該半導體基板包含單晶矽。 14. 如請求項1〇之裝置,其進一步包含併入一 DRAM裝置中 之該電晶體裝置。 15. 如請求項10之裝置,其進一步包含一資料儲存元件,其 • 係電耦接至該對源極/汲極區域之一者以形成一記憶體單 元’該兄憶體單元在該半導體基板上包含一約4F2之面 積,其中F表示光微影界定之特徵的一最小特徵大小。 16. —種半導體構造,其包含·· 一導電柱,其自一半導體基板之一上表面向上擴展; 一源極/汲極區域,其位於該半導體基板内該導電柱下 方且與該導電柱電輕接; 一電晶體通道,其在該源極/汲極下方擴展;及 一閘極,其位於該半導體基板内鄰近該電晶體通道。 104564-960320.doc 1287270 如凊求項16之構造,其中該導電柱包含一蠢晶石夕柱。 iS·如印求項16之構造,其中該源極/汲極區域包含一汲極區 域。 9·如明求項16之構造,其進一步包含與該導電柱電耦接之 一電容器。 20, 如凊求項16之構造,其中該構造包含一電晶體,且進一 步包合將該電晶體併入一記憶體單元結構中,其中該導 電柱將該電晶體電麵接至一電容器。 21 如請求項16之構造,其中該半導體基板大體上水平地定 位且該電晶體通道大體上垂直地擴展。 22, 23. 如請求項16之構造,其中該半導體基板包含單晶矽。 如睛求項16之構造,其進一步包含: 另一源極/汲極’其係位在該電晶體通道對面鄰近該閘 極;及 一資料儲存元件,其係電耦接至該導電柱以形成一記 憶體單元,該記憶體單元在該半導體基板上包含一約4尸 之面積,其中F表示光微影界定之特徵的一最小特徵大 小 〇 24· —種形成一半導體構造之方法,其包含: 提供一半導體基板; 形成一開口至該半導體基板中; 在該開口内在該半導體基板上形成一氧化物膜; 在該氧化物膜上提供導電閘極材料且充填該開口; 在該半導體基板内在該閘極材料之相對侧面上形成一 104564-960320.doc 1287270 % 對擴散區域;及 界定一通道區域,其在該半導體基板内大體上垂直地 擴展。 25·如請求項24之方法,其進一步包含: 形成一電容器;且 將該電容器電耦接至該對擴散區域之一者。 26·如請求項24之方法,其進一步包含: ^ 形成一磊晶柱,其在該對擴散區域之一者上自該半導 體基板向上擴展; 在該半導體基板上形成一電容器;及 將該電容器電耦接至該磊晶柱。 27· —種垂直電晶體結構,其包含: 一石夕基板; 一通道區域,其係界定於該矽基板内且大體上相對該 矽基板垂直地擴展; | 一第一源極/汲極區域,其位於該通道區域上方一定高 度處, 一閘極,其位在該矽基板内侧向鄰近該通道區域處; 一第二源極/汲極區域,其位於該通道區域對面在該閑 極之一侧面上;及 其中該第一源極/没極區域包括一自該石夕基板向上擴展 之蠢晶柱。 28·如請求項27之結構,其中該矽基板包含單晶石夕。 29·如請求項27之結構,其中該第一源極/汲極區域包含一沒 104564-960320.doc -4- 1287270 極區域。 3 0.如請求項27之結構,其中該閘極圍繞該通道區域。 31·如請求項27之結構,其中該閘極圍繞該第一源極/汲極區 域。 32·如請求項27之結構,其中該閘極圍繞該通道區域且圍繞 該第一源極/沒極區域。 33.如請求項27之結構,其中該第一源極/汲極區域位於該矽 基板上。 # 34.如請求項27之結構,其中該第一源極/汲極區域位於該矽 基板内。 35·如請求項27之結構,其中該矽基板包含一上表面,且其 中該第一源極/汲極區域包含自該上表面擴展並在該上表 面下方一定高度處的一部分,且包含自該上表面擴展並 在該上表面上方一定局度處的另一部分。 3 6·如請求項27之結構,其中該第一源極/汲極區域包含位於 I 該碎基板内的一擴散區域。 37·如請求項27之結構’其中該第一源極/沒極區域之一部分 包含位於該矽基板内的一擴散區域,且其中該第一源極/ 汲極區域之另一部分包含自該矽基板向上擴展的一磊晶 柱。 38. 如凊求項27之結構’其進一步包含自該碎基板向上擴展 且電麵接至該第'^原極/沒極區域的'一羞晶柱,且其中該 磊晶柱包含用於該垂直電晶體的一電接點。 39. 如請求項27之結構,其進一步包含自該矽基板向上擴展 104564-960320.doc 1287270 且直接地處於該第一源極/汲極區域之上的一磊晶柱,且 其中該磊晶柱包含用於該垂直電晶體的一電接點。
    104564-960320.doc -6-
TW094130193A 2004-09-01 2005-09-02 Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors TWI287270B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/932,150 US7547945B2 (en) 2004-09-01 2004-09-01 Transistor devices, transistor structures and semiconductor constructions

Publications (2)

Publication Number Publication Date
TW200633137A TW200633137A (en) 2006-09-16
TWI287270B true TWI287270B (en) 2007-09-21

Family

ID=35632014

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094130193A TWI287270B (en) 2004-09-01 2005-09-02 Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors

Country Status (8)

Country Link
US (4) US7547945B2 (zh)
EP (2) EP2267769A3 (zh)
JP (1) JP2008511996A (zh)
KR (1) KR100918156B1 (zh)
CN (1) CN100583414C (zh)
SG (1) SG155882A1 (zh)
TW (1) TWI287270B (zh)
WO (1) WO2006028775A2 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
DE102005051417A1 (de) * 2005-10-27 2007-05-03 X-Fab Semiconductor Foundries Ag Simulations- bzw. Layoutverfahren für vertikale Leistungstransistoren mit variierbarer Kanalweite und variierbarer Gate-Drain-Kapazität
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7745319B2 (en) 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8072345B2 (en) * 2008-02-14 2011-12-06 Darren Gallo Electronic flare system and apparatus
KR101145396B1 (ko) * 2008-04-04 2012-05-16 에스케이하이닉스 주식회사 수직게이트 형성 방법 및 그를 이용한 반도체장치 제조방법
KR100983693B1 (ko) * 2008-04-10 2010-09-24 주식회사 하이닉스반도체 고집적 반도체 장치 내 수직형 트랜지스터의 제조 방법
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR101014855B1 (ko) * 2008-12-22 2011-02-15 주식회사 하이닉스반도체 실린더형 커패시터 형성 방법
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device
KR101061321B1 (ko) * 2009-03-02 2011-08-31 주식회사 하이닉스반도체 융기된 랜딩 플러그 콘택을 갖는 새들 핀 트랜지스터 및 그형성 방법
KR101075492B1 (ko) * 2009-03-23 2011-10-21 주식회사 하이닉스반도체 수직트랜지스터를 구비한 반도체장치 및 그 제조 방법
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
KR101927991B1 (ko) * 2012-07-16 2018-12-12 에스케이하이닉스 주식회사 수직형 반도체 소자, 그 소자를 갖는 모듈과 시스템, 및 그 소자의 제조 방법
TWI560481B (en) * 2012-10-29 2016-12-01 Hon Hai Prec Ind Co Ltd Photoelectric converting module
CN106611763B (zh) * 2015-10-21 2019-06-14 华邦电子股份有限公司 存储器装置及其制造方法
US10002962B2 (en) 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
US9799765B1 (en) 2016-06-29 2017-10-24 International Business Machines Corporation Formation of a bottom source-drain for vertical field-effect transistors
US9847337B1 (en) 2016-12-27 2017-12-19 Micron Technology, Inc. Memory arrays comprising ferroelectric capacitors
US10553703B2 (en) * 2017-05-19 2020-02-04 Micron Technology, Inc. Array of elevationally-extending transistors and a method used in forming an array of elevationally-extending transistors
CN108461496B (zh) * 2018-05-09 2023-09-29 长鑫存储技术有限公司 集成电路存储器及其形成方法、半导体集成电路器件

Family Cites Families (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) * 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
US4931409A (en) 1988-01-30 1990-06-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having trench isolation
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US5108938A (en) * 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
JPH03219677A (ja) * 1990-01-24 1991-09-27 Fujitsu Ltd 半導体装置
KR930006930B1 (ko) 1990-04-19 1993-07-24 태흥산업 주식회사 트리스아조 직접염료의 개선된 제조방법
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) * 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) * 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
KR0141218B1 (ko) * 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
WO1995018220A1 (fr) * 1993-12-27 1995-07-06 Kirin Beer Kabushiki Kaisha Chaine d'adn utilisee pour la synthese de xanthophylles, synthese et procede de preparation de xanthophylles
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
UA41477C2 (uk) 1996-05-21 2001-09-17 Сіменс Акцієнгезельшафт Багатошаровий конденсатор тонкошарової конструкції і спосіб його виготовлення
DE19620625C1 (de) 1996-05-22 1997-10-23 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JPH1022476A (ja) * 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) * 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
TW308727B (en) * 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) * 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) * 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) * 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
DE19801095B4 (de) * 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US6188996B1 (en) * 1998-05-22 2001-02-13 Pitney Bowes Inc. System for metering permit mail
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP4322330B2 (ja) * 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) * 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) * 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6383861B1 (en) * 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6403442B1 (en) * 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) * 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6399490B1 (en) * 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) * 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10038728A1 (de) * 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6301726B1 (en) 2000-11-27 2001-10-16 Robert Pena Method of installing a bathtub
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US6570207B2 (en) * 2000-12-13 2003-05-27 International Business Machines Corporation Structure and method for creating vertical capacitor and anti-fuse in DRAM process employing vertical array device cell complex
JP4635333B2 (ja) * 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) * 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6531727B2 (en) * 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
KR100388682B1 (ko) * 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) * 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) * 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) * 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) * 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) * 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) * 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) * 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US6853252B2 (en) 2002-10-04 2005-02-08 Intersil Corporation Phase-lock loop having programmable bandwidth
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US7250650B2 (en) * 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6720232B1 (en) * 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
US7105406B2 (en) * 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) * 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) * 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060108667A1 (en) * 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) * 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
WO2006103973A1 (ja) 2005-03-25 2006-10-05 Toshiba Tec Kabushiki Kaisha 電気掃除機
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (ja) * 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
KR100843139B1 (ko) * 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) * 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) * 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) * 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7494870B2 (en) * 2007-01-12 2009-02-24 Sandisk Corporation Methods of forming NAND memory with virtual channel
US7732275B2 (en) * 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7619311B2 (en) * 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) * 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
US7759193B2 (en) * 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors

Also Published As

Publication number Publication date
CN100583414C (zh) 2010-01-20
US8120101B2 (en) 2012-02-21
EP2267769A3 (en) 2011-08-24
US20080142882A1 (en) 2008-06-19
TW200633137A (en) 2006-09-16
US20060261393A1 (en) 2006-11-23
SG155882A1 (en) 2009-10-29
KR100918156B1 (ko) 2009-09-17
US20060043449A1 (en) 2006-03-02
EP2267769A2 (en) 2010-12-29
US7825462B2 (en) 2010-11-02
EP1784858A2 (en) 2007-05-16
JP2008511996A (ja) 2008-04-17
CN101057322A (zh) 2007-10-17
KR20070034131A (ko) 2007-03-27
US7501684B2 (en) 2009-03-10
WO2006028775A3 (en) 2006-04-27
US7547945B2 (en) 2009-06-16
WO2006028775A2 (en) 2006-03-16
US20110012182A1 (en) 2011-01-20

Similar Documents

Publication Publication Date Title
TWI287270B (en) Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors
US6716757B2 (en) Method for forming bottle trenches
US9576963B2 (en) Manufacturing method of vertical channel transistor array
TWI247356B (en) Semiconductor device and method of manufacturing the same
TWI380375B (en) Method for fabricating semiconductor device with vertical channel
US9076757B2 (en) Methods of forming a plurality of capacitors
US8409953B2 (en) Method of manufacturing a semiconductor device
TW201010060A (en) Transistor structure, dynamic random access memory containing the transistor structure, and method of making the same
TW548788B (en) Self-aligned contact with improved isolation and method forming
TW201112354A (en) Semiconductor device with buried bit lines interconnected to one-side-contact and fabrication method thereof
TW201034170A (en) Semiconductor memory device including a cylinder type storage node and a method of fabricating the same
JP2004530300A (ja) 窪み付きgatdramトランジスタおよび方法
US9230793B2 (en) Semiconductor device and method for forming the same
US20140042548A1 (en) Dram structure with buried word lines and fabrication thereof, and ic structure and fabrication thereof
US6468858B1 (en) Method of forming a metal insulator metal capacitor structure
KR20170103204A (ko) 반도체장치 제조 방법
KR20030080234A (ko) 트렌치 커패시터 및 그 제조 방법
US7989335B2 (en) Methods of forming insulation layer patterns and methods of manufacturing semiconductor devices including insulation layer patterns
JP2001057413A (ja) 半導体装置およびその製造方法
US6548348B1 (en) Method of forming a storage node contact hole in a porous insulator layer
TWI270179B (en) Method of fabricating a trench capacitor DRAM device
US20220140071A1 (en) Semiconductor structure and formation method thereof
KR20110135768A (ko) 반도체 소자의 제조방법
TWI814592B (zh) 半導體裝置
WO2022062602A1 (zh) 半导体器件及其制备方法、存储装置