JP2005277426A - 薄膜形成方法 - Google Patents

薄膜形成方法 Download PDF

Info

Publication number
JP2005277426A
JP2005277426A JP2005096528A JP2005096528A JP2005277426A JP 2005277426 A JP2005277426 A JP 2005277426A JP 2005096528 A JP2005096528 A JP 2005096528A JP 2005096528 A JP2005096528 A JP 2005096528A JP 2005277426 A JP2005277426 A JP 2005277426A
Authority
JP
Japan
Prior art keywords
reactor
supplying
film
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005096528A
Other languages
English (en)
Inventor
Won-Yong Koh
ウォン ヨン コ
Chun-Soo Lee
チュン ス イ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genitech Co Ltd
Original Assignee
Genitech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020010003830A external-priority patent/KR100721503B1/ko
Application filed by Genitech Co Ltd filed Critical Genitech Co Ltd
Publication of JP2005277426A publication Critical patent/JP2005277426A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 原料供給サイクルに同期させたプラズマを用いる時分割的な原料供給CVD法により半導体またはフラット表示素子の基板上に優れた特性を有する金属酸化物、金属窒化物及び金属の薄膜を形成する方法を提供すること。
【解決手段】 プラズマを用いる原子層蒸着装置の反応器内において基板上に金属酸化物膜を形成する方法であって、反応器内に金属原料化合物を供給する段階と、反応器内に酸素ガスを供給する段階と、反応器内に所定時間中に酸素プラズマを生じさせる段階と、を含む金属酸化物膜の形成方法。
【選択図】図1

Description

本発明は薄膜を形成する方法に係り、とりわけ、半導体やフラット表示素子において絶縁膜、誘電膜、配線として用いられる金属、金属酸化物及び金属窒化物の薄膜を形成する方法に関する。
従来には、金属、金属酸化物及び金属窒化物の薄膜を形成する方法として主としてスパッタリング法のような物理的気相蒸着(physical vapor deposition:PVD)法が用いられたが、PVDは、一般に、段差塗布性が良好ではなく、穴や溝が埋め込まれる前にオーバーハングにより穴や溝の入口が閉塞される現象が起こり易く、膜の表面が均一ではないといった問題点があった。これにより、近年、段差塗布性に優れており、割に均一な厚みの膜を形成し得る化学的気相蒸着(CVD)法が薄膜形成方法としてよく用いられている。
しかしながら、従来のCVD法の場合、成膜時に必要な原料を同時に供給するために、所望の組成及び物性を有した膜の形成が困難であり、成膜に用いられる各種の反応原料が気相で反応して汚染粒子が生じるといった問題があった。また、バリウム−チタン酸化物(BaTiO)、バリウム−ストロンチウム−チタン酸化物((Ba,Sr)TiO)などの多成分の金属酸化物をCVDする場合には、金属原料間の相互作用のために広い面積に、例えば300mmのウェーハ表面に、均一な組成の膜が形成し難くなる。また、金属有機化合物を原料として用いるCVD法の場合、成膜速度を速めるために基板の温度を高めると、形成された膜に炭素不純物が多く含まれるといった問題が生じる。
一方、化合物の薄膜を形成する方法として、化合物を構成する各成分元素を含む反応ガスを順次に供給する原子層蒸着(ALD)法が1977年にサントーラら(Suntola et al.)に許与された米国特許第4,058,430号公報及び米国特許第4,389,973号公報に開示されている。ALD法によれば、反応ガスの基板への吸着厚みが反応ガスの供給時間に関係なくほとんど一定しているので、原料供給サイクルを調節することにより膜厚及び組成を容易に、且つ精度良く調節し得る。しかし、ALD法においては、各成分元素を含む反応ガスを別々に供給しなければならないため、特に多成分の金属酸化物薄膜を形成する場合、薄膜形成工程が複雑になり、これに用いられる製造装置が複雑になるといった問題点がある。
このように、従来のCVD及びALD法が有する問題を解決するために、各成分の金属元素を含む前駆体を同時に反応器に供給して前駆体層を形成した後、不活性ガスで反応器をパージし、酸化剤によりこれら金属元素をすべて酸化させて多成分の金属酸化物層を形成し、不活性ガスにより反応器をパージする工程サイクルを繰り返す方法が1999年にディメオ(Dimeo)に許与された米国特許第5,972,430号公報に開示されている。しかしながら、この方法によれば、各成分の金属元素を含む前駆体を同時に供給するため、金属元素を含む前駆体が互いに作用して蒸気圧が低くなるといった問題などが生じる恐れがある。蒸気圧が低いBa(thd)やTaClのような前駆体は温度が低くなれば気相から固相へと変わり易く、このような現象は気相の前駆体がALD反応器に運ばれる間に起こり易い。しかも、気相から固相への転移中に粒子が形成され易いが、一旦粒子が形成されれば、その回収も取扱いも極めて困難である。また、バリウム及びストロンチウムの前駆体であるBa(thd)及びSr(thd)は、Ti(O−i−Pr)のようなアルキル酸系の前駆体と反応して蒸気圧の低い化合物を形成するが、このような化合物は、前述したように、取扱いが困難である。ここで、“thd”とは、テトラメチルヘプタンジオネート(CHCC(O)CHC(O)C(CH )を意味する。
膜をなす全ての元素を含む原料を別々に供給するALD法を用いれば、原料の相互反応を防止し得るが、前駆体として用いられる金属有機化合物原料は、一般に、高温で自ら分解して固体を形成するために、基板の温度をこれより低く維持しなければならず、低過ぎる温度では供給された原料間の反応が起こらないために、成膜可能な最低温度よりも高く基板の温度を維持しなければならない。ALD法を適用し得る基板のこのような最低−最高温度の範囲は反応原料によって異なり、多種の元素が含まれた膜をALD法により形成するためには、膜をなす全ての元素の原料に対しては最低−最高温度の範囲が一致する区間がなければならない。従って、成分元素の種類が多くて必要な原料の数が多いために最低−最高温度の範囲が一致する区間がなければ、これら原料を用いてALD法でもこの膜を形成し得ない。また、従来のALD法では、Ti、W及びAlのように純粋な金属膜を金属原料の熱分解温度以下の低温で蒸着し得ない。
一方、シャルマンら(Sherman et al.)に許与された米国特許第5,916,365号公報には、原料間の反応性が低い場合にも所望の膜を形成するために、第1の反応ガスを供給した後に反応器に残った反応ガスを真空ポンプを用いて排気し、RF電源のようなラジカル発生器を通させて活性化された第2の反応ガスを供給し、反応器に残った反応ガスを真空ポンプを用いて排気する工程サイクルを繰り返す成膜法が開示されている。しかしながら、真空ポンプは、圧力が低くなるに伴い排気速度が落ちるために、反応器に残留する反応ガスを真空ポンプを用いて完全に排気するには長時間かかるといった問題点がある。
このような問題を解決するために、反応ガスを供給してパージガスで反応器に残った反応ガスを排気し、他の反応ガスを供給してパージガスで反応器をパージする工程サイクルに同期されてプラズマを生じさせて膜を形成する方法が韓国特許出願第99−11877号公報に開示されている。その開示の内容をここに参照として取り込む。パージガスを用いて反応器に残った反応ガスを排気する方が、真空ポンプを用いて残留反応ガスを排気するよりはるかに速いために、韓国特許出願第99−11877号公報に開示された方法は、米国特許第5,916,365号公報に開示された方法に比べてガスの供給時間を短縮できて単位時間当たり成膜速度を速めることができる。しかも、反応速度を速めるために反応器内においてプラズマを直接的に生じさせる場合、シャルマンらの方法は反応器内のガス圧が激しく変わるためにプラズマが不安定になるといった問題があるが、パージガスを用いる場合には、反応器内の圧力が割に一定しているため、プラズマが安定的になる。また、バリウム酸チタン膜の形成に用いられるバリウム原料のような固体原料を一定の速度で供給するために、溶媒を用いて固体原料を溶解させた溶液を一定に供給する液体原料供給装置及び液体をガスに変える気化器を用いる場合、シャルマンらの方法によれば、反応原料を供給して反応器において反応を起こさせた後、反応器に残ったガス原料をパージするために反応器を真空ポンプで排気するとき、気化器に液体を供給する部分において揮発性が高い溶媒が一層速く揮発して粘性を有した固体原料が残り、液体原料供給部が閉塞されてしまうといった問題が生じる。これに対し、韓国特許出願第99−11877号公報に開示された方法によれば、反応器とこれに結ばれた気化器との圧力が一定に保たれるため、このような問題が無い。
そこで、本発明の目的は、原料供給サイクルに同期させたプラズマを用いる時分割的な原料供給CVD法により半導体またはフラット表示素子の基板上に優れた特性を有する金属酸化物、金属窒化物及び金属の薄膜を形成する方法を提供することである。
本願発明では、プラズマを生じさせるために、基板の上面に単位面積当たり約0.01ないし2.0W/cmのRF電力を加えるが、プラズマを生じさせるための電力は、反応ガスの供給サイクルに同期されるようにパルス状に加えられる。この時、前記RF電力は、電力パルスが加えられたときの最大電力を意味し、前記プラズマ処理は、パルス状の代わりに連続的になされても良い。プラズマを用いたALD法の場合、与えられた原料により膜を形成するのに要される最低温度が低いために、原子層CVDが可能な最低−最高温度の範囲が広い。従って、各種の元素よりなる膜をALD法により形成するとき、各原料に対してALD原子層化学蒸着が可能な最低−最高温度の範囲が一致する区間が見つけ易い。すなわち、プラズマを用いたALD法においては、最低−最高温度の範囲の制限が少ないため、プラズマを用いないALD法に比べて金属の原料を割に自由に、且つ、容易に選択し得る。また、Al、Ti及びWのように純粋な金属膜を蒸着しようとする場合にも、プラズマが活性化エネルギーを供給するために、反応原料の熱分解温度より低い温度におけるALDが可能になる。なおかつ、プラズマ処理を通じて形成された膜の物理化学的な性質を変え得るので、RF電力パルスの量により形成された膜の特性を改善する効果を得ることもできる。
本願発明によれば、前記プラズマ処理段階は、反応ガス供給サイクルごとになされても良く、反応ガス供給サイクルを数回繰り返した後になされても良い。例えば、Tin膜の場合、
[(Ti原料→N原料)→(NまたはHプラズマ)→]
または
[{(Ti原料→N原料)→}n回繰り返し(NまたはHプラズマ)→]
を繰り返して特性が改善されたTiN膜を形成し得る。ここで、各供給原料が予め反応することを防止するために、各原料の供給前後にパージガスを用いて反応器をパージする段階を行う。
また、本願発明によれば、プラズマを生じさせない状態では互いに反応しない原料を用いることにより、原料供給の前後にパージガスを用いる段階を省いても良い。例えば、酸素ガス(O)は低温において金属化合物との反応が一般的に極めて遅いのに対し、酸素プラズマは金属化合物と容易に反応して金属酸化物を形成するため、パージガスなしに、
[(金属原料)→酸素ガス→(酸素プラズマ)→]
を繰り返して金属酸化物を形成し得、この場合、パージガスを用いた場合より高速で金属酸化物膜を形成し得る。他の例として、金属原料と反応しない窒素ガス(N)及び水素ガス(H)を用い、
[(金属原料)→(窒素ガス+水素ガス)→(窒素水素プラズマ)→]
を繰り返してパージガスを用いた場合より高速で金属窒化物膜を形成し得る。金属窒化物膜は、窒素ガス(N)及び水素ガス(H)の代わりにアンモニア(NH)プラズマを反応器内に生じさせる方法によっても蒸着可能であるが、前記窒素ガス及び水素ガスを用いる方法は、パージガスを用いずに工程サイクルを具現し得るという長所がある。また、
[(金属原料)→水素ガス→(水素プラズマ)→]
の工程サイクルを繰り返すことにより、低温でもTi、Al及びWなどの純粋な金属膜を形成し得る。
本願発明によれば、パージ効果を一層高めるために、酸素ガス、水素ガス及び窒素及び水素の混合ガスにヘリウム(He)、アルゴン(Ar)などの不活性ガスを混合させた混合ガスをパージガスとして用い得る。このように、不活性ガスを混合させることにより、反応ガスの濃度を変えて反応性を調節し得るといった効果も得られる。
以下、本発明の好ましい実施例について説明する。
実施例1
酸素ガスは、有機金属原料と遅く反応するために、一般に、400℃以下の温度において有機金属原料及び酸素ガスを原料として用いて金属酸化物膜を形成し難いばかりではなく、成膜速度が極めて遅い。これにより、この実施例では、酸素プラズマを用いてアルミニウム酸化膜を形成する方法について説明する。図1は、本発明の実施例1によるALD法を示すフローチャートである。
図1に示されたように、TMAとして知られているトリメチルアルミニウムをアルミニウムの原料として用いて基板温度200℃、反応器圧力3Torrの条件下でTMA(0.2秒)→Ar(0.4秒)→O(0.4秒)→(O+プラズマ)(1秒)の段階よりなる工程サイクル(全体周期は2秒、図1における段階103ないし106)を繰り返して酸化アルミニウム(Al)膜を形成した。1秒間の酸素プラズマ処理のために、150WのRF電力を加えて酸素プラズマを生じさせた。このようにして形成された膜の厚みは、原料供給周期当たり1.6Åであった。
一方、図2に示されたように、従来のALD法によれば、リタラら(Ritala et al.)“Perfectly Conformal TiN and Al、Films Deposited by Atomic Layer Deposition,”Chemical Vapor Deposition,vol.5,No.1,7頁、1999)は、プラズマ処理無しにTMA(1.5秒)→N(0.5秒)→HO(3.0秒)→N(0.5秒)の工程サイクル(全体周期は5.5秒、図2における段階202ないし205)により酸化アルミニウム(Al)膜を形成したところ、その膜厚は原料供給周期当たり1.1Åであったと報告した。
これを本発明の実施例1により得られた結果と比較すれば、本発明による場合、工程サイクル周期当たり46%(1.6Å/1.1Å=1.46)だけさらに厚い膜を形成し得、これを成膜速度に換算すれば、4倍[=(1.6Å/1.1Å)×(5.5秒/2秒)]に当たる。これより、反応性が低い酸素ガス及びプラズマを用いるALD法(本発明)の方が、反応性が高いガスを用いるALD法(従来の技術)より単位時間当たり4倍ほど高速で酸化アルミニウム(Al)膜を形成し得るということが分かる。
実施例2
図3は、本実施例により酸化タンタル(Ta)膜を形成するALD法を示すフローチャートである。図3に示されたように、TAE(dmae)として知られているジメトキシアミドエチル酸テトラエチル酸タンタル(Ta(OC(OCHCHN(CH)をタンタルの原料として用いて基板温度250℃、反応器圧力4Torrの条件下でTAE(dmae)(0.3秒)→Ar(1.7秒)→O(1秒)→(O+プラズマ)(2秒)→Ar(1秒)の段階よりなる工程サイクル(全体周期は6秒、図3における段階303ないし307)を繰り返して酸化タンタル(Ta)膜を形成した。全体周期である6秒のうち2秒間180WのRF電力を加えて酸素プラズマを生じさせた。このようにして形成された膜の厚みは、原料供給周期当たり0.67Åであった。
一方、図4に示されたように、従来のALD法の場合、ククリら(K.Kukli et al.“Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films from Ta(OC and HO, ”Journal of Electrochemical Society, Vol.142,No.5, 1670頁、1995)は、プラズマ処理無しにTa(OC(0.2秒)→N(明示せず)→HO(2.0秒)→N(明示せず)の工程サイクル(図4における段階402ないし405)により0.4Åの厚みの酸化タンタル膜を形成したと報告した。
この文献には、Nガスにより反応器をパージする時間が示されておらず、工程周期に対する成膜速度は比較し得ないが、結果的な膜厚のみを比較すれば、本発明によれば、68%(0.67/0.4=68%)だけ一層高速で酸化タンタル(Ta)膜を形成し得るということが分かる。すなわち、結果的な膜厚のみを比較すれば、反応性が低い酸素ガス及びプラズマを用いるALD法の方が、反応性が高いガスを用いるALD法より68%一層高速で酸化タンタル(Ta)膜を形成し得るということが分かる。
実施例3
この実施例では、プラズマを用いたALD法によるストロンチウム−チタン酸化物(SrTiO;STO)を形成する方法について説明する。STOやバリウム−ストロンチウム−チタン酸化物((Ba,Sr)TiO;BST)は誘電率が高いために、高集積DRAMの記憶素子において、電荷貯蔵用キャパシタの誘電物質として用いられる。
まず、化学蒸着原料であるSr(thd)を反応器に供給し、アルゴンガスにより反応器をパージし、酸素を供給し、反応器内にプラズマを生じさせた後にプラズマを切ってアルゴンガスにより反応器をパージする。次に、Ti(O−i−Pr)(またはTi(O−i−Pr)(thd))を反応器に供給し、アルゴンガスにより反応器をパージし、酸素を供給し、反応器内にプラズマを生じさせた後にプラズマを切ってアルゴンガスにより反応器をパージする。この過程を一回以上繰り返して所望の厚みのSTO膜を形成する。
実施例4
この実施例では、プラズマを用いるALD法によりBST膜を形成する方法について述べる。まず、Ba(thd)を反応器に供給し、アルゴンガスにより反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスにより反応器をパージする。次に、Ti(O−i−Pr)(またはTi(O−i−Pr)(thd))及びSr(thd)を各々用いて同じ工程サイクルを行う。次に、Ti(O−i−Pr)(またはTi(O−i−Pr)(thd))を反応器に供給し、アルゴンガスにより反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスにより反応器をパージする。このようにBa−O−Ti−O−Sr−O−Ti−Oの原料供給サイクルを一回以上繰り返して所望の厚みのBST膜を形成する。金属原料の供給順序を変えてBa→O→Sr→O→Ti→O→Ti→OまたはSr→O→Ba→O→Ti→O→Ti→Oの段階よりなる原料供給サイクルを繰り返して所望の厚みのBST膜を形成しても良い。
Ba:Sr:Tiの割合を調整するためには、前記原料供給サイクルに必要な原料の供給段階を追加すれば良い。例えば、Srに対するBaの割合を高めたいならば、Ba→O→Ti→O→Sr→O→Ti→Oの原料供給サイクルにBa→O→Ti→Oの供給段階を追加した拡大サイクルを繰り返して所望の厚みのBST膜を形成しても良い。
前記実施例3及び実施例4において、固体であるBa(thd)(またはSr(thd))を溶媒であるテトラヒドロフラン(またはアセト酸n−ブチル)に溶かした溶液を液体原料供給装置及び気化器を用いて供給すれば、一つの原料供給サイクルにより反応器への原料の供給量を一定にでき、工程の一貫性を高め得る。
実施例5A
ストロンチウム−ビスマス−タンタル酸化物(SrBiTa;SBT)や鉛−ジルコニウム−チタン酸化物(Pb(Zr,Ti)O;PZT)のような強誘電体膜は電源が切れても記憶された情報が消去されない不揮発性記憶素子の製造に用いられる。実施例5A及び実施例5BではSBT膜を形成する方法について説明し、PZT膜を形成する過程は実施例6において説明する。
まず、Sr(thd)を反応器に供給し、アルゴンガスにより反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスにより反応器をパージする。次に、トリフェニルビスマス(BiPh)及びTa(OEt)を各々用いて同じ工程サイクルを行う。前記過程において、Ta(OEt)の代わりにTa(OEt)(dmae)を用いても良く、Bi(Ph)の代わりにBi(thd)を用いても良い。ここで、“dmae”は、ジメチルアミノエトキシドである。
続いて、Bi(thd)またはトリフェニルビスマス(BiPh)を反応器に供給し、アルゴンガスにより反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。次に、Ta(OEt)またはTa(OEt)(dmae)を用いて同じ工程サイクルを行う。前記工程において、Ta(OEt)の代わりにTa(OEt)(dmae)を用いても良い。
このように、Sr→O→Bi→O→Ta→O→Bi→O→Ta→Oの原料供給サイクルを一回以上繰り返して所望の厚みのSBT膜を形成する。Sr:Bi:Taの割合を調節するために、前記原料供給サイクルを一回以上繰り返す間に必要な原料供給段階を追加すれば良い。
実施例5B
この実施例では、Sr及びTaが1:2の割合で混合されているエチル酸及びストロンチウムタンタル(Sr{Ta(OEt))(ここで、原料としてエチル酸及びストロンチウムタンタルdmae((Sr{Ta(OEt)(dmae)}) を用いても良い)を用いてSBT膜を形成する方法について説明する。
Sr{Ta(OEt)(ここで、Sr{Ta(OEt)(dmae)}を用いても良い)を反応器に供給し、アルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。その後、Bi(thd) (ここで、BiPhを用いても良い)を反応器に供給し、アルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする工程サイクルを2回繰り返す。このようにSrTa→O→Bi→O→Bi→Oの原料供給サイクルを1回以上繰り返して所望の厚みのSBT膜を形成する。Sr:Bi:Taの割合を調節するためには、前述した原料供給サイクルを繰り返す間にビスマス原料を供給する段階を省略または追加して原料供給サイクルを1回または1回以上繰り返せば、所望の厚みのSBT膜が形成される。
前記実施例5A及び実施例5Bにおいて、固体であるBi(thd)、BiPh、Sr{Ta(OEt)、Sr{Ta(OEt)(dmae)}を溶媒であるテトラヒドロフラン(またはアセト酸n−ブチル)に溶かした溶液を液体原料供給装置及び気化器を用いて供給すれば、各原料供給サイクル中に反応器への原料の供給量を一定にできるので、工程の一貫性を高め得る。
実施例6
この実施例では、プラズマを用いるALD法によりPZT膜を形成する方法について説明する。Pb(thd)を反応器に供給し、アルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。次に、Zr(O−t−Bu)(またはZr(O−t−Bu)(thd))及びPb(thd)を各々用いて同じ工程サイクルを行う。最終的に、Ti(O−i−Pr)(またはTi(O−i−Pr)(thd))を反応器に供給し、アルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。このようにPb→O→Zr→O→Pb→O→Ti→O順序の原料供給サイクルを1回以上繰り返せば、所望の厚みのPZT膜が形成される。Pb:Zr:Tiの割合を調節するためには、前記原料供給サイクルを繰り返す間にある元素の原料供給段階を追加または省略しても良い。例えば、Pb→O→Zr→O→Pb→O→Ti→Oの原料供給サイクルにおいてTi→Oの供給段階を追加または省略しても良く、Zr→Oの供給段階を追加してZr:Tiの割合を変えても良い。
固体原料である場合、例えば、固体であるPb(thd)、Zr(O−t−Bu)(thd)及びTi(O−i−Pr)(thd)を溶媒であるテトラヒドロフラン(またはアセト酸n−ブチル)に溶かした溶液を液体原料供給装置及び気化器を用いて供給すれば、各原料供給サイクルにおいて反応器への原料の供給量を一定にできて工程の一貫性を高め得る。
実施例7A
酸化シリコン(SiO)より誘電率が一層高いジルコニウム−シリコン酸化物(Zr−Si−O)及びハフニウム−シリコン酸化物(Hf−Si−O)はトランジスターのゲート絶縁膜として良い材料になり得る。
この実施例では、本発明によるプラズマを用いるALD法を用いてジルコニウム−シリコン酸化物膜を形成する方法について述べる。すなわち、t−ブチル酸ジルコニウム(Zr(O−t−Bu))を反応器に供給してアルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。その後、テトラエチル酸シリコン(TEOS)を反応器に供給し、アルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。前述した過程を1回または1回以上繰り返せば、所望の厚みのジルコニウム−シリコン酸化物(Zr−Si−O)膜が形成される。
実施例7B
この実施例では、プラズマを用いるALD法によりハフニウム−シリコン酸化物膜を形成する方法について述べる。すなわち、t−ブチル酸ハフニウム(Hf(O−t−Bu))を反応器に供給してアルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。その後、テトラエチル酸シリコン(TEOS)を反応器に供給し、アルゴンガスを用いて反応器をパージし、酸素を供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。前述した過程を1回または1回以上繰り返して所望の厚みのハフニウムシリコン酸化物(Hf−Si−O)膜を得る。
実施例8
この実施例では、半導体工程において金属配線材料として用いられるアルミニウム膜をトリアルキルアルミニウム(Al(C2n+1、ここで、n=1〜6)原料及び水素(H)プラズマを用いたALD法により形成する。トリアルキルアルミニウム(Al(C2n+1、ここで、n=1〜6)としては、トリメチルアルミニウム(Al(CH)、トリエチルアルミニウム(Al(C)またはトリイソブチルアルミニウム(Al(CHCH(CH)などが用いられ得るが、成膜温度が高いほどアルミニウム膜の誘電性が一層良くなる。従って、熱分解温度が最も高いトリメチルアルミニウムを原料として用いた方が、ALDの反応温度が最も高いために好ましい。
まず、トリアルキルアルミニウム(Al(C2n+1、ここで、n=1〜6)を反応器に供給し、アルゴンガスを用いて反応器をパージし、水素(H)ガスを供給した後に、または水素ガスを供給しつつ反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージするサイクルを繰り返す。この1サイクルの順序を図式的に示せば、下記の通りである。
Al→Ar→H→(H+プラズマ)→Ar
ここで、水素(H)ガスはトリアルキルアルミニウム(Al(C2n+1、ここで、n=1〜6)と反応しないため、アルゴン(Ar)ガスによるパージ段階を省略して各工程サイクルを行うのにかかる時間を短縮させることにより、単位時間当たり成膜速度を速め得る。この方法によれば、トリアルキルアルミニウム(Al(C2n+1、ここで、n=1〜6)を反応器に供給し、水素(H)ガスを供給して一定時間が過ぎた後、プラズマを生じさせてプラズマを切って水素(H)ガス供給を直ちに止めるか、あるいは約1秒後より短時間水素(H)ガスをさらに流すサイクルを繰り返し、アルミニウム膜を形成する。この方法による工程サイクルを図式的に示せば、下記の通りである。
Al→H→(H+プラズマ)
または
Al→H→(H+プラズマ)→H(1秒未満)
実施例9A
シリコン基板上の半導体素子(トランジスタなど)、キャパシタ、抵抗素子などを接続したり電源を供給したりするために、アルミニウム(Al)のような金属が用いられる。最近は銅も用いられているが、プラズマを用いた原子層CVD法により銅原子の拡散防止膜であるTaN膜またはTa−N−C膜を形成すれば、プラズマを用いない場合に比べて膜の電気伝導度が高まる。例えば、Taのアミド化合物またはアミドイミド化合物及びアンモニア(NH)ガスを順次に供給するサイクルを繰り返すALD法に比べて、プラズマを生じさせる段階をさらに含む工程サイクルを繰り返すALD法の場合、一層高い電気伝導度を有した膜を形成し得る。そして、アンモニア(NH)ガスを供給する段階の代わりに、プラズマにより活性化された窒素(N)ガスを供給する段階を含むサイクルを繰り返すALD法によっても、膜の電気伝導度を高め得る。
t−ブチルイミドトリスジエチルアミドタンタル(TBTDET)を原料として用いるプラズマALD法によりTa−N(またはTa−N−C)膜を形成し得る。TBTDET→Ar→NH→Arの原料供給サイクルを繰り返してALD法により形成した膜は、約10μΩ・cmの極めて高い比抵抗を有する。これに対し、TBTDET→Ar→NH→Ar→(H+プラズマ)の工程サイクルを繰り返してALD法により形成した膜は、比抵抗が4400μΩ・cmと極めて低かった。また、TBTDET→H→(H+プラズマ)のサイクルを繰り返してALD法により形成したTa−N−C膜(炭素の含量は、15−40%)は比抵抗が400μΩ・cmと一層低かった。
実施例9B
この実施例では、テトラキスジメチルアミドチタン(TDMAT)をチタン原料として用いるプラズマALD法による窒化チタン膜の形成方法について説明する。TDMAT→Ar→NH→Arの原料供給サイクルを繰り返して形成したTiN膜の比抵抗は10000μΩ・cmであった。これに対し、TDMAT→Ar→NH→Ar→(H+プラズマ)の工程サイクルを数十回ないし数百回繰り返してALD法により形成したTiN膜は、比抵抗が1800μΩ・cmとはるかに低かった。
実施例10
この実施例では、反応性がほとんどない窒素ガス(N)を用いて窒化チタン(TiN)膜または窒化タンタル膜(TaN)を蒸着する方法について説明する。TiCl(窒化タンタル膜の場合にはTaCl)をチタン(またはタンタル)の蒸着原料として用いて基板温度300℃、反応器圧力5Torrの条件下でTiCl(またはTaCl)(0.2秒)→(N+H)(1.2秒)→(プラズマ発生)(2.0秒)の工程サイクルを繰り返す。反応ガス及びパージガスの機能を合わせ持つ(N+H)の混合ガスの供給のために、N及びHが各々60sccmの流量にて供給され、150ワット(8インチのウェーハ基準)のRF電力を加えてプラズマを生じさせる。このような過程を通じて形成された膜の厚みは、工程サイクル当たり0.5Åであった。この時、N(またはH)の流量を調節して窒化チタン(または窒化タンタル膜)の組成を調節することが可能である。すなわち、水素の供給なしにNだけを供給することも可能であり、Hの割合を調節して窒化チタン(または窒化タンタル)膜内のTi(またはTa)の濃度を調節することも可能である。反応時の基板の温度が低過ぎると、TiCl(またはTaCl)ガスの凝縮により粒子が形成され、その一方、高過ぎると、配線材料に悪影響を及ぼすため、反応時の基板の温度は150℃ないし500℃の範囲内に維持することが好ましい。また、反応器内の圧力は、0.5ないし10Torrの範囲内に、プラズマ発生のためのRF電力は、基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内に維持することが好ましい。
実施例11
シリコン基板上の半導体素子やキャパシタ及び抵抗素子などの素子を接続したり電源を供給したりするためには、アルミニウム(Al)のような金属を用いる。最近は銅も用いられているが、銅を蒸着する時に銅原子が絶縁膜に拡散することを防止するために拡散防止膜が必要となる。拡散防止膜としては、TiNやTaNなどが使用でき、これらの材料は銅との接着力が悪いという問題点があるため、この実施例では、このような問題を解決し得る拡散防止膜の蒸着方法について説明する。
チタン(またはタンタル)などのハロゲン群または有機金属化合物を反応器に供給し、アルゴンガスを用いて反応器をパージし、アンモニアまたは窒素ガスを供給し、反応器にプラズマを生じさせた後にプラズマを切って、アルゴンガスを用いて反応器をパージする。このような原料供給サイクルを繰り返すことにより銅原子が絶縁膜に拡散することを防止するのに十分な厚みの窒化チタン(TiN)(または窒化タンタル(TaN))膜を形成する。続いて、(hfac)Cu+1(vtms)(またはCu(hfac))を供給した後、アルゴンを用いてパージし、水素ガスを供給した後、プラズマを放電させた後にプラズマを切って、アルゴンを用いてパージする段階を経る工程サイクルを行い、基板の上面に銅膜を形成する。また、銅との接着性に優れたアルミニウム膜をこのような目的に用いても良い。
実施例12
この実施例では、プラズマを用いるALD法により銅との接着力に優れており、且つ、銅原子の拡散を防止し得る拡散防止膜を形成する方法について説明する。まず、窒化チタン(TiN)(または窒化タンタル(TaN))膜を形成するための工程サイクルを繰り返して銅原子の拡散を防止し得る厚みのTiN(またはTaN)膜をALD法により形成する。その後、窒化チタン(TiN)(または窒化タンタル(TaN))を形成する工程サイクルの間に銅との接着性に優れた金属膜を形成する工程サイクルの追加を次第に増やす。 例えば、Ti(またはTa)→Ar→N→Arの供給サイクル2回及びCu(またはAl)→Ar→H→Arの供給サイクル1回を含む拡大サイクルを2回繰り返した後、Ti(またはTa)→Ar→N→Arの供給サイクル1回及びCu(またはAl)→Ar→H→Arの供給サイクル1回を含む拡大サイクルを2回繰り返し、Ti(またはTa)→Ar→N→Arの供給サイクル1回及びCu(またはAl)→Ar→H→Arの供給サイクル2回を含む拡大サイクルを2回繰り返した後、Cu(またはAl)→Ar→H→Arの供給サイクルを3回繰り返す。このように窒化チタン(TiN)(または窒化タンタル(TaN))から銅との接着性に優れた金属へと組成が次第に変わる膜を形成することにより、拡散防止膜と銅配線との間の接着力が改善される結果が得られる。
実施例13
この実施例では、原料供給周期に同期させたプラズマを用いるALD法によりチタン(Ti)膜またはタンタル(Ta)膜を蒸着する方法について説明する。TiCl(タンタルの場合にはTaCl)をチタン(またはタンタル)の原料として用いて基板の温度300℃、反応器の圧力5Torrの条件下でTiCl(またはTaCl)(0.2秒)→H(1.9秒)→(プラズマ発生)(2.0秒)の工程サイクルを繰り返す。この時、150ワット(8インチのウェーハ基準)のRF電力を加えてプラズマを生じさせる。この実施例では、反応ガス兼パージガスとしてHを用いたが、パージの効率性を高めるために、ヘリウムまたはアルゴンなどの不活性ガスを混合して用いても良い。反応時の基板の温度が低過ぎると、TiCl(またはTaCl)ガスの凝縮により粒子が形成され、その一方、高過ぎると、配線材料に悪影響を及ぼすため、反応時の基板の温度は、150℃ないし500℃の範囲内に維持することが好ましい。また、反応器内の圧力は、0.5ないし10Torrの範囲内に、プラズマ発生のためのRF電力は、基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内に維持することが好ましい。
実施例14
この実施例では、原料供給周期に同期させたプラズマを用いるALD法によりタングステン(W)膜を蒸着する方法について説明する。WFをタングステンの原料として用いて基板の温度300℃、反応器の圧力5Torrの条件下でWF(1.0秒)→(H+Ar)(6.0秒)→(プラズマ発生)(2.0秒)の工程サイクルを繰り返す。この時、WFを2sccmの流量にて供給し、反応ガス及びパージガスの機能を合わせ持つN+Arの混合ガスの供給のために、N及びArを各々100sccmの流量にて供給する。また、100ワット(8インチのウェーハ基準)のRF電力を加えてプラズマを生じさせる。反応時の基板の温度は、100℃ないし450℃の範囲内に維持することが好ましい。また、反応器内の圧力は、0.5ないし10Torrの範囲内に、プラズマ発生のためのRF電力は、基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内に維持することが好ましい。
実施例15
この実施例では、原料供給周期に同期させたプラズマを用いるALD法により窒化タングステン(WN)膜を蒸着する方法について説明する。WFをタングステンの原料として用いて基板の温度300℃、反応器の圧力5Torrの条件下でWF(1.0秒)→(H+N)(6.0秒)→(プラズマ発生)(2.0秒)→(H+N)(1秒)の工程サイクルを繰り返す。この時、WFを2sccmの流量にて供給し、反応ガス及びパージガスの機能を合わせ持つ(N+H)の混合ガスの供給のために、N及びArを各々100sccm及び50sccmの流量にて供給する。また、100ワット(8インチのウェーハ基準)のRF電力を加えてプラズマを生じさせる。ここで、N(またはH)の流量を調節して窒化タングステン膜の組成を調節することが可能である。すなわち、水素の供給なしにNのみを供給することも可能であり、Hの割合を調節してWN内のWの濃度を調節することも可能である。反応時の基板の温度は100℃ないし450℃の範囲内に維持することが好ましい。また、反応器内の圧力は0.5ないし10Torrの範囲内に、プラズマ発生のためのRF電力は基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内に維持することが好ましい。
以上、本発明の各種の実施例が開示されて説明されたが、この技術分野における当業者であれば、本発明の核心を逸脱しない範囲内において各種の変形が可能であるということが容易に理解できるであろう。よって、前述した実施例は単なる説明のためのものであり、請求の範囲によって定義される本発明の範囲を限定することはない。
本発明の実施例1による、金属酸化物の膜を形成するためのALD法を示すフローチャートである。 本発明の実施例1に対応する、金属酸化物の膜を形成するための従来の技術を示すフローチャートである。 本発明の実施例2による、酸化タンタル(Ta)膜を形成するためのALD法を示すフローチャートである。 本発明の実施例2に対応する、酸化タンタル膜を形成するための従来の技術を示すフローチャートである。

Claims (29)

  1. プラズマを用いる原子層蒸着装置の反応器内において基板上に金属酸化物膜を形成する方法であって、
    前記反応器内に金属原料化合物を供給する段階と、
    前記反応器内に酸素ガスを供給する段階と、
    前記反応器内に所定時間中に酸素プラズマを生じさせる段階と、を含む金属酸化物膜の形成方法。
  2. 前記金属原料化合物を供給する段階前、段階後または段階前後共に前記反応器の内部を不活性ガスでパージする段階をさらに含むことを特徴とする請求項1に記載の金属酸化物膜の形成方法。
  3. 前記金属原料化合物がトリメチルアルミニウム(Al(CH)であり、前記金属酸化物が酸化アルミニウム(Al)であることを特徴とする請求項1に記載の金属酸化物膜の形成方法。
  4. 前記金属原料化合物がジメトキシアミドエチル酸テトラエチル酸タンタル(Ta(OC(OCN(CH)またはエチル酸タンタル(Ta(OC)であり、
    前記金属酸化物が酸化タンタル(Ta)であることを特徴とする請求項1に記載の金属酸化物膜の形成方法。
  5. プラズマを用いる原子層蒸着装置の反応器内において基板上にストロンチウム−チタン酸化物(STO)膜を形成する方法であって、
    (5−1)前記反応器内にストロンチウム有機金属化合物を供給する段階と、
    (5−2)前記反応器内に酸素ガスを供給し、所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (5−3)前記反応器内にチタン有機金属化合物を供給する段階と、
    (5−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを一回以上繰り返すが、
    前記(5−1)ないし(5−4)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするSTO膜の形成方法。
  6. プラズマを用いる原子層蒸着装置の反応器内において基板上にバリウム−ストロンチウム−チタン酸化物(BST)膜を形成する方法であって、
    (6−1)前記反応器内にバリウム有機金属化合物を供給する段階と、
    (6−2)前記反応器内に酸素ガスを供給して所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (6−3)前記反応器内にチタン有機金属化合物を供給する段階と、
    (6−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、
    (6−5)前記反応器内にストロンチウム有機金属化合物を供給する段階と、
    (6−6)前記反応器内に酸素ガスを供給して所定の第3の時間中に酸素ガスプラズマを生じさせる段階と、
    (6−7)前記反応器内にチタン有機金属化合物を供給する段階と、
    (6−8)前記反応器内に酸素ガスを供給して所定の第4の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返すが、
    前記(6−1)ないし(6−8)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするBST膜の形成方法。
  7. プラズマを用いる原子層蒸着装置の反応器内において基板上にストロンチウム−ビスマス−タンタル酸化物(SBT)膜を形成する方法であって、
    (7−1)前記反応器内にストロンチウム有機金属化合物を供給する段階と、
    (7−2)前記反応器内に酸素ガスを供給して所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (7−3)前記反応器内にビスマス有機金属化合物を供給する段階と、
    (7−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、
    (7−5)前記反応器内にタンタル有機金属化合物を供給する段階と、
    (7−6)前記反応器内に酸素ガスを供給して所定の第3の時間中に酸素ガスプラズマを生じさせる段階と、
    (7−7)前記反応器内にビスマス有機金属化合物を供給する段階と、
    (7−8)前記反応器内に酸素ガスを供給して所定の第4の時間中に酸素ガスプラズマを生じさせる段階と、
    (7−9)前記反応器内にタンタル有機金属化合物を供給する段階と、
    (7−10)前記反応器内に酸素ガスを供給して所定の第5の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返すが、
    前記(7−1)ないし(7−10)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするSBT膜の形成方法。
  8. プラズマを用いる原子層蒸着装置の反応器内において基板上にストロンチウム−ビスマス−タンタル酸化物(SBT)膜を形成する方法であって、
    (8−1)前記反応器内にビスマス有機金属化合物を供給する段階と、
    (8−2)前記反応器内に酸素ガスを供給して所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (8−3)前記反応器内にストロンチウム及びタンタルが1:2の割合で混合された有機金属化合物を供給する段階と、
    (8−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返すが、
    前記(8−1)ないし(8−4)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするSBT膜の形成方法。
  9. プラズマを用いる原子層蒸着装置の反応器内において基板上に鉛−ジルコニウム−チタン酸化物(PZT)膜を形成する方法であって、
    (9−1)前記反応器内に鉛有機金属化合物を供給する段階と、
    (9−2)前記反応器内に酸素ガスを供給して所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (9−3)前記反応器内にジルコニウム有機金属化合物を供給する段階と、
    (9−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、
    (9−5)前記反応器内に鉛有機金属化合物を供給する段階と、
    (9−6)前記反応器内に酸素ガスを供給して所定の第3の時間中に酸素ガスプラズマを生じさせる段階と、
    (9−7)前記反応器内にチタン有機金属化合物を供給する段階と、
    (9−8)前記反応器内に酸素ガスを供給して所定の第4の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返すが、
    前記(9−1)ないし(9−8)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするPZT膜の形成方法。
  10. プラズマを用いる原子層蒸着装置の反応器内において基板上にジルコニウム−シリコン酸化物(Zr−Si−O)膜を形成する方法であって、
    (10−1)前記反応器内にジルコニウム有機金属化合物を供給する段階と、
    (10−2)前記反応器内に酸素ガスを供給して所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (10−3)前記反応器内にシリコン化合物を供給する段階と、
    (10−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返すが、
    前記(10−1)ないし(10−4)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするZr−Si−O膜の形成方法。
  11. プラズマを用いる原子層蒸着装置の反応器内において基板上にハフニウム−シリコン酸化物(Hf−Si−O)膜を形成する方法であって、
    (11−1)前記反応器内にハフニウム有機金属化合物を供給する段階と、
    (11−2)前記反応器内に酸素ガスを供給して所定の第1の時間中に酸素ガスプラズマを生じさせる段階と、
    (11−3)前記反応器内にシリコン化合物を供給する段階と、
    (11−4)前記反応器内に酸素ガスを供給して所定の第2の時間中に酸素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返すが、
    前記(11−1)ないし(11−4)の各段階後に前記反応器内を不活性ガスでパージする段階をさらに含むことを特徴とするHf−Si−O膜の形成方法。
  12. アルミニウム膜を形成する方法であって、
    反応器にトリアルキルアルミニウム(Al(C2n+1、ここで、n=1〜6)を供給する段階と、
    前記反応器に水素ガスを供給して所定の時間中に水素ガスプラズマを生じさせる段階と、を含む工程サイクルを一回以上繰り返すことを特徴とする方法。
  13. プラズマを用いる原子層蒸着装置の反応器内において基板上に金属窒化物膜を形成する方法であって、
    前記反応器内に金属原料化合物を供給する段階と、
    前記反応器内に窒素と水素との混合ガスを供給する段階と、
    前記反応器内に所定の時間中に窒素と水素との混合ガスのプラズマを生じさせる段階と、を含むことを特徴とする金属窒化物膜の形成方法。
  14. 前記金属原料化合物が4塩化チタン(TiCl)であり、前記金属窒化物が窒化チタン(TiN)であることを特徴とする請求項13に記載の方法。
  15. 窒化チタンの蒸着中に前記反応器内に配された前記基板の温度を150℃ないし500℃の範囲内に維持し、前記反応器内の圧力を0.5Torrないし10Torrの範囲内に維持し、前記プラズマを生じさせるために加えられるRF電力が前記基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内であることを特徴とする請求項14に記載の方法。
  16. 前記金属原料化合物が5塩化タンタル(TaCl)であり、前記金属窒化物が窒化タンタル(TaN)であることを特徴とする請求項13に記載の方法。
  17. 誘電性金属窒化物膜を形成する方法であって、
    反応器に金属原料を供給する段階と、
    前記反応器にアンモニアガスを供給する段階と、
    前記反応器に水素ガスを供給して所定の時間中に水素ガスプラズマを生じさせる段階と、を含む工程サイクルを繰り返して誘電性がさらに高い金属窒化物膜を形成することを特徴とする方法。
  18. タンタルの窒化炭化(Ta−N−C)膜を形成する方法であって、
    反応器に窒素を含むタンタルの有機化合物原料を供給する段階と、
    前記反応器に水素ガスを供給して所定の時間中に水素ガスプラズマを生じさせる段階と、を含む工程サイクルを一回以上繰り返すことを特徴とするタンタルの窒化炭化膜の形成方法。
  19. プラズマを用いる原子層蒸着装置の反応器内において基板上に銅配線を形成するために、銅原子の絶縁層への拡散を防止する拡散防止膜及び銅との接着性を高めるための接着膜を形成する方法であって、
    窒化チタン膜を形成する第1の工程サイクルと、アルミニウム膜を形成する第2の工程サイクルとを繰り返して行い、窒化チタンからアルミニウムへと組成が変わる層を順次に形成するが、
    前記第1の工程サイクルは、
    前記反応器内にチタン化合物を供給する段階と、
    前記反応器内を不活性ガスでパージする段階と、
    前記反応器内にアンモニアまたは窒素ガスを供給して所定の第1の時間中にアンモニアまたは窒素ガスプラズマを生じさせる段階と、
    前記反応器内を不活性ガスでパージする段階と、を含み、
    前記第2の工程サイクルは、
    前記反応器内に銅またはアルミニウム化合物を供給する段階と、
    前記反応器内を不活性ガスでパージする段階と、
    前記反応器内に水素ガスを供給して所定の第2の時間中に水素ガスプラズマを生じさせる段階と、
    前記反応器内を不活性ガスでパージする段階と、を含むことを特徴とする接着膜の形成方法。
  20. プラズマを用いる原子層蒸着装置の反応器内において基板上に銅配線をするために、銅原子の絶縁層への拡散を防止する拡散防止膜及び銅との接着性を高めるための接着膜を形成する方法であって、
    窒化タンタル膜を形成する第1の工程サイクルと、アルミニウム膜を形成する第2の工程サイクルとを繰り返して行い、窒化タンタルからアルミニウムへと組成が変わる層を順次に形成するが、
    前記第1の工程サイクルは、
    前記反応器内にタンタル化合物を供給する段階と、
    前記反応器内を不活性ガスでパージする段階と、
    前記反応器内にアンモニアまたは窒素ガスを供給して所定の第1の時間中にアンモニアまたは窒素ガスプラズマを生じさせる段階と、
    前記反応器内を不活性ガスでパージする段階と、を含み、
    前記第2の工程サイクルは、
    前記反応器内に銅またはアルミニウム化合物を供給する段階と、
    前記反応器内を不活性ガスでパージする段階と、
    前記反応器内に水素ガスを供給して所定の第2の時間中に水素ガスプラズマを生じさせる段階と、
    前記反応器内を不活性ガスでパージする段階と、を含むことを特徴とする接着膜の形成方法。
  21. 前記金属原料化合物が6フッ化タングステン(WF)であり、金属窒化物が窒化タングステン(WN)であることを特徴とする請求項13に記載の方法。
  22. 窒化タングステンの蒸着中に前記反応器内に配された前記基板の温度を100℃ないし450℃の範囲内に維持し、前記反応器内の圧力を0.5Torrないし10Torrの範囲内に維持し、前記プラズマを生じさせるために加えられるRF電力が前記基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内であることを特徴とする請求項21に記載の方法。
  23. 窒化タンタルの蒸着中に前記反応器内に配された前記基板の温度を150℃ないし500℃の範囲内に維持し、前記反応器内の圧力を0.5Torrないし10Torrの範囲内に維持し、前記プラズマを生じさせるために加えられるRF電力が前記基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内であることを特徴とする請求項16に記載の方法。
  24. チタン膜を形成する方法であって、
    反応器に4塩化チタン(TiCl)を供給する段階と、
    前記反応器に水素ガスまたは水素ガスと不活性ガスとの混合ガスを供給し、所定の時間中に水素ガスまたは前記混合ガスのプラズマを生じさせる段階と、を含む工程サイクルを一回以上繰り返すことを特徴とする方法。
  25. チタンの蒸着中に前記反応器内に配された前記基板の温度を150℃ないし500℃の範囲内に維持し、前記反応器内の圧力を0.5Torrないし10Torrの範囲内に維持し、前記プラズマを生じさせるために加えられるRF電力が前記基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内であることを特徴とする請求項24に記載の方法。
  26. タングステン膜を形成する方法であって、
    反応器に6フッ化タングステン(WF)を供給する段階と、
    前記反応器に水素ガスと不活性ガスとの混合ガスを供給し、所定の時間中に前記混合ガスのプラズマを生じさせる段階と、を含む工程サイクルを一回以上繰り返すことを特徴とする方法。
  27. タングステンの蒸着中に前記反応器内に配された前記基板の温度を100℃ないし450℃の範囲内に維持し、前記反応器内の圧力を0.5Torrないし10Torrの範囲内に維持し、前記プラズマを生じさせるために加えられるRF電力が前記基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内であることを特徴とする請求項26に記載の方法。
  28. タンタル膜を形成する方法であって、
    反応器に5塩化タンタル(TaCl)を供給する段階と、
    前記反応器に水素ガスまたは水素ガスと不活性ガスとの混合ガスを供給し、所定の時間中に水素ガスまたは前記混合ガスのプラズマを生じさせる段階と、を含む工程サイクルを一回以上繰り返すことを特徴とする方法。
  29. タンタルの蒸着中に前記反応器内に配された前記基板の温度を150℃ないし500℃の範囲内に維持し、前記反応器内の圧力を0.5Torrないし10Torrの範囲内に維持し、前記プラズマを生じさせるために加えられるRF電力が前記基板の上面の単位面積当たり0.01ないし2.0W/cmの範囲内であることを特徴とする請求項28に記載の方法。
JP2005096528A 2000-06-08 2005-03-29 薄膜形成方法 Pending JP2005277426A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20000031367 2000-06-08
KR1020010003830A KR100721503B1 (ko) 2000-06-08 2001-01-26 박막 형성 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002503921A Division JP3687651B2 (ja) 2000-06-08 2001-06-08 薄膜形成方法

Related Child Applications (3)

Application Number Title Priority Date Filing Date
JP2010195005A Division JP2010275641A (ja) 2000-06-08 2010-08-31 薄膜形成方法
JP2010195007A Division JP2010278473A (ja) 2000-06-08 2010-08-31 薄膜形成方法
JP2010195006A Division JP2010280991A (ja) 2000-06-08 2010-08-31 薄膜形成方法

Publications (1)

Publication Number Publication Date
JP2005277426A true JP2005277426A (ja) 2005-10-06

Family

ID=26638079

Family Applications (6)

Application Number Title Priority Date Filing Date
JP2002503921A Expired - Lifetime JP3687651B2 (ja) 2000-06-08 2001-06-08 薄膜形成方法
JP2005096527A Expired - Lifetime JP4684706B2 (ja) 2000-06-08 2005-03-29 薄膜形成方法
JP2005096528A Pending JP2005277426A (ja) 2000-06-08 2005-03-29 薄膜形成方法
JP2010195005A Pending JP2010275641A (ja) 2000-06-08 2010-08-31 薄膜形成方法
JP2010195006A Pending JP2010280991A (ja) 2000-06-08 2010-08-31 薄膜形成方法
JP2010195007A Pending JP2010278473A (ja) 2000-06-08 2010-08-31 薄膜形成方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2002503921A Expired - Lifetime JP3687651B2 (ja) 2000-06-08 2001-06-08 薄膜形成方法
JP2005096527A Expired - Lifetime JP4684706B2 (ja) 2000-06-08 2005-03-29 薄膜形成方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2010195005A Pending JP2010275641A (ja) 2000-06-08 2010-08-31 薄膜形成方法
JP2010195006A Pending JP2010280991A (ja) 2000-06-08 2010-08-31 薄膜形成方法
JP2010195007A Pending JP2010278473A (ja) 2000-06-08 2010-08-31 薄膜形成方法

Country Status (4)

Country Link
US (2) US7141278B2 (ja)
EP (2) EP2293322A1 (ja)
JP (6) JP3687651B2 (ja)
WO (1) WO2001099166A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008105451A1 (ja) * 2007-03-01 2008-09-04 Tokyo Electron Limited SrTiO3膜の成膜方法および記憶媒体
JP2009513018A (ja) * 2005-10-20 2009-03-26 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 溶液から調製されるナノクリスタル太陽電池
JP2010056285A (ja) * 2008-08-28 2010-03-11 Mitsubishi Electric Corp 炭化珪素半導体装置の製造方法
JP2010177661A (ja) * 2008-12-10 2010-08-12 Air Products & Chemicals Inc 第4族金属含有膜を堆積させるための前駆体
JP2011520251A (ja) * 2008-04-16 2011-07-14 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積

Families Citing this family (523)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US20030012875A1 (en) * 2001-07-10 2003-01-16 Shreyas Kher CVD BST film composition and property control with thickness below 200 A for DRAM capacitor application with size at 0.1mum or below
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP4102072B2 (ja) 2002-01-08 2008-06-18 株式会社東芝 半導体装置
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
JP4090346B2 (ja) * 2002-02-28 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
EP1492161A4 (en) * 2002-03-29 2006-05-24 Tokyo Electron Ltd METHOD FOR CONSTITUTING AN UNDERLYING INSULATING FILM
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
JP4695343B2 (ja) * 2002-04-11 2011-06-08 株式会社日立国際電気 縦型半導体製造装置
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
EP1543177A1 (en) * 2002-07-12 2005-06-22 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
KR101035221B1 (ko) 2002-12-27 2011-05-18 가부시키가이샤 알박 질화 텅스텐막의 형성 방법
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
KR100518560B1 (ko) * 2003-03-04 2005-10-04 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
JP4643196B2 (ja) * 2003-07-25 2011-03-02 三星電子株式会社 金属化合物膜の蒸着方法
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7186446B2 (en) * 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
JP4284228B2 (ja) * 2004-04-19 2009-06-24 株式会社東芝 半導体装置の製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7078326B1 (en) * 2005-01-19 2006-07-18 Marsh Eugene P Nucleation method for atomic layer deposition of cobalt on bare silicon during the formation of a semiconductor device
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
KR100841866B1 (ko) * 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
JP2008532271A (ja) 2005-02-22 2008-08-14 エーエスエム アメリカ インコーポレイテッド 原子層堆積のための表面のプラズマ前処理
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
JP4355672B2 (ja) * 2005-03-15 2009-11-04 三井造船株式会社 薄膜形成方法
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7459392B2 (en) * 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100753411B1 (ko) * 2005-08-18 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
FR2890982B1 (fr) * 2005-09-21 2008-05-02 St Microelectronics Sa Procede de realisation d'une couche dielectrique sur un materiau porteur et un circuit integre comprenant un condensateur incorporant une couche dielectrique
US7550385B2 (en) * 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7524765B2 (en) * 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7871933B2 (en) * 2005-12-01 2011-01-18 International Business Machines Corporation Combined stepper and deposition tool
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
WO2007078802A2 (en) 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
KR100889970B1 (ko) * 2006-01-20 2009-03-24 삼성전자주식회사 상변화 구조물 형성 방법
JP2007211326A (ja) 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7566661B2 (en) * 2006-05-22 2009-07-28 Lavoie Adrien R Electroless treatment of noble metal barrier and adhesion layer
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5558815B2 (ja) * 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR100829602B1 (ko) * 2006-10-20 2008-05-14 삼성전자주식회사 상변화 물질층 형성 방법 및 상변화 메모리 장치의 제조방법
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7892964B2 (en) * 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080274615A1 (en) * 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
JP4735601B2 (ja) * 2007-05-14 2011-07-27 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101589777B1 (ko) * 2008-08-01 2016-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기재 상에 탄탈-함유 층의 형성 방법
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8105956B2 (en) 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5372075B2 (ja) * 2011-07-04 2013-12-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8679988B2 (en) * 2011-11-22 2014-03-25 Intermolecular, Inc. Plasma processing of metal oxide films for resistive memory device applications
WO2013155432A2 (en) * 2012-04-12 2013-10-17 Veeco Instruments, Inc. Plasma enhanced atomic layer deposition method
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
KR20150013980A (ko) * 2013-07-24 2015-02-06 삼성전자주식회사 반도체 소자의 제조 방법
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR20160047538A (ko) * 2013-10-16 2016-05-02 미쯔이 죠센 가부시키가이샤 성막 장치 및 성막 방법
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9464352B2 (en) * 2014-05-02 2016-10-11 Asm Ip Holding B.V. Low-oxidation plasma-assisted process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
KR101596329B1 (ko) * 2014-08-18 2016-02-23 연세대학교 산학협력단 Vhf를 이용한 pe-ald 장치 및 방법
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6469495B2 (ja) * 2015-03-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6895352B2 (ja) * 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20220051192A (ko) 2019-08-13 2022-04-26 어플라이드 머티어리얼스, 인코포레이티드 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP7447432B2 (ja) * 2019-11-05 2024-03-12 東京エレクトロン株式会社 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP6980324B1 (ja) * 2021-03-08 2021-12-15 株式会社クリエイティブコーティングス チタン酸バリウム膜の製造方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01253241A (ja) * 1988-03-31 1989-10-09 Toshiba Corp 半導体装置の製造方法
JPH04361531A (ja) * 1991-06-10 1992-12-15 Fujitsu Ltd 半導体装置の製造方法
JP2000054134A (ja) * 1998-08-07 2000-02-22 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜製造方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS61136236A (ja) * 1984-12-07 1986-06-24 Hitachi Ltd 半導体装置の製造方法
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US4767497A (en) * 1987-04-01 1988-08-30 The Boc Group, Inc. Process of forming enhanced heat transfer surfaces
US5166092A (en) * 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JPH01305524A (ja) 1988-06-03 1989-12-08 Nec Corp プラズマcvd装置
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JPH0521744A (ja) * 1991-07-10 1993-01-29 Sony Corp 半導体記憶装置のキヤパシタおよびその製造方法
US5270247A (en) * 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
EP0641493B1 (en) * 1992-05-22 2000-06-28 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JPH07211644A (ja) * 1994-01-26 1995-08-11 Oki Electric Ind Co Ltd 薄膜の製造方法およびその製造装置
US5534395A (en) * 1994-06-09 1996-07-09 Fuji Photo Film Co., Ltd. Method of processing silver halide color photographic materials
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100200739B1 (ko) * 1996-10-16 1999-06-15 윤종용 장벽금속막 형성방법
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
KR19990011877A (ko) 1997-07-25 1999-02-18 양재신 차량 백 미러 자동 제어 장치
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
US6133106A (en) * 1998-02-23 2000-10-17 Sharp Laboratories Of America, Inc. Fabrication of a planar MOSFET with raised source/drain by chemical mechanical polishing and nitride replacement
KR100318456B1 (ko) 1998-06-29 2002-03-08 박종섭 반도체소자의탄탈륨산화막캐패시터형성방법
JP2000049116A (ja) * 1998-07-30 2000-02-18 Toshiba Corp 半導体装置及びその製造方法
FI108375B (fi) * 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100353328B1 (ko) 1999-03-15 2002-09-18 주성엔지니어링(주) TiN 박막 형성방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
SG99871A1 (en) * 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003173015A (ja) * 2001-09-28 2003-06-20 Hoya Corp グレートーンマスクの製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01253241A (ja) * 1988-03-31 1989-10-09 Toshiba Corp 半導体装置の製造方法
JPH04361531A (ja) * 1991-06-10 1992-12-15 Fujitsu Ltd 半導体装置の製造方法
JP2000054134A (ja) * 1998-08-07 2000-02-22 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜製造方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009513018A (ja) * 2005-10-20 2009-03-26 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 溶液から調製されるナノクリスタル太陽電池
US8440906B2 (en) 2005-10-20 2013-05-14 The Regents Of The University Of California Nanocrystal solar cells processed from solution
KR101322646B1 (ko) * 2005-10-20 2013-10-25 더 리전츠 오브 더 유니버시티 오브 캘리포니아 용액으로부터 형성된 나노결정 태양 전지
WO2008105451A1 (ja) * 2007-03-01 2008-09-04 Tokyo Electron Limited SrTiO3膜の成膜方法および記憶媒体
JP2008218555A (ja) * 2007-03-01 2008-09-18 Tokyo Electron Ltd SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
KR101156305B1 (ko) * 2007-03-01 2012-06-13 도쿄엘렉트론가부시키가이샤 SrTiO3막의 성막 방법 및 기억 매체
US8361550B2 (en) * 2007-03-01 2013-01-29 Tokyo Electron Limited Method for forming SrTiO3 film and storage medium
JP2011520251A (ja) * 2008-04-16 2011-07-14 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
KR101540077B1 (ko) * 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
JP2010056285A (ja) * 2008-08-28 2010-03-11 Mitsubishi Electric Corp 炭化珪素半導体装置の製造方法
JP2010177661A (ja) * 2008-12-10 2010-08-12 Air Products & Chemicals Inc 第4族金属含有膜を堆積させるための前駆体
US8471049B2 (en) 2008-12-10 2013-06-25 Air Product And Chemicals, Inc. Precursors for depositing group 4 metal-containing films

Also Published As

Publication number Publication date
US7141278B2 (en) 2006-11-28
US20070048455A1 (en) 2007-03-01
EP2293322A1 (en) 2011-03-09
JP2005229129A (ja) 2005-08-25
EP1292970A1 (en) 2003-03-19
JP2010278473A (ja) 2010-12-09
JP2010275641A (ja) 2010-12-09
EP1292970B1 (en) 2011-09-28
WO2001099166A1 (en) 2001-12-27
JP3687651B2 (ja) 2005-08-24
JP4684706B2 (ja) 2011-05-18
US20040009307A1 (en) 2004-01-15
US7485349B2 (en) 2009-02-03
JP2003536272A (ja) 2003-12-02
EP1292970A4 (en) 2007-12-19
JP2010280991A (ja) 2010-12-16

Similar Documents

Publication Publication Date Title
JP4684706B2 (ja) 薄膜形成方法
KR100721503B1 (ko) 박막 형성 방법
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
KR100385947B1 (ko) 원자층 증착 방법에 의한 박막 형성 방법
US8685165B2 (en) Metal oxide films
KR101274330B1 (ko) 알칼리 토금속 베타-디케티미네이트 전구체를 이용한원자층 증착
US9012334B2 (en) Formation of a tantalum-nitride layer
KR101304760B1 (ko) 증착용 티타늄 함유 전구체
EP1238421A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2008100691A2 (en) Vapor deposition methods for forming a metal- containing layer on a substrate
KR20020061985A (ko) 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
TWI756959B (zh) 膜或塗層之方法
KR102343186B1 (ko) 니오븀 질화물 박막의 형성 방법
KR100582405B1 (ko) 캐패시터 및 그 제조 방법
KR20050015442A (ko) 엠오씨브이디에 의한 산화하프늄 박막 증착 방법
KR20030092600A (ko) 산화물박막의 원자층증착법
KR20040006959A (ko) 반도체 소자용 산화막 제조방법

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20050707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050707

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111011