DE10222083A1 - Isolationsverfahren für eine Halbleitervorrichtung - Google Patents

Isolationsverfahren für eine Halbleitervorrichtung

Info

Publication number
DE10222083A1
DE10222083A1 DE10222083A DE10222083A DE10222083A1 DE 10222083 A1 DE10222083 A1 DE 10222083A1 DE 10222083 A DE10222083 A DE 10222083A DE 10222083 A DE10222083 A DE 10222083A DE 10222083 A1 DE10222083 A1 DE 10222083A1
Authority
DE
Germany
Prior art keywords
layer
insulating
trench
gate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10222083A
Other languages
English (en)
Other versions
DE10222083B4 (de
Inventor
Jae-Yoon Yoo
Moon-Han Park
Dong-Ho Ahn
Sug-Hun Hong
Kyung-Won Park
Jeong-Soo Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2001-0027345A external-priority patent/KR100403628B1/ko
Priority claimed from KR10-2001-0060554A external-priority patent/KR100421049B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10222083A1 publication Critical patent/DE10222083A1/de
Application granted granted Critical
Publication of DE10222083B4 publication Critical patent/DE10222083B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Abstract

Es ist ein Isolationsverfahren für eine Halbleitervorrichtung offenbart, wonach eine isolierende Maskenschicht auf gewünschten Zonen eines Halbleitersubstrats ausgebildet wird. Es wird ein Graben in einer gewünschten Tiefe in dem Halbleitersubstrat unter Verwendung der isolierenden Maskenschicht als Maske ausgebildet. Auf der isolierenden Maskenschicht wird eine Oxidschicht hergestellt und ebenso an der Seitenwand des Grabens. Eine Grabenauskleidungsschicht wird auf der Oxidschicht hergestellt. Eine isolierende Füllschicht wird in dem Graben in dem Halbleitersubstrat ausgebildet, in welchem die Grabenauskleidungsschicht ausgebildet wurde, um dadurch den Graben zu füllen. Die isolierende Maskenschicht wird dann entfernt. Gemäß dem Isolierverfahren für eine Halbleitervorrichtung wird es möglich, das Auftreten von Auszahnungen entlang dem Rand des Grabens zu reduzieren, ebenso das Auftreten einer Oxidschicht mit Vogelschnabelspitzenausbildung an einem Zwischenbereich zwischen den isolierenden Maskenschichten zu reduzieren, ebenso den Leckstrom zu vermindern oder die elektrischen Eigenschaften zu verbessern, wie beispielsweise die Schwellenwertspannung.

Description

QUERVERWEIS AUF IN BEZIEHUNG STEHENDE ANMELDUNGEN
Diese nicht provisorische US-Patentanmeldung beansprucht die Priorität gemäß 35 U. S. C. § 119 der koreanischen Patentanmeldung 2001-0027345, eingereicht am 18. Mai 2001, und von der koreanischen Patentanmeldung 2001-0060554, eingereicht am 28. September 2001, wobei die Inhalte von beiden Patentanmeldungen hier durch Bezugnahme mit einbezogen werden.
HINTERGRUND DER ERFINDUNG Gebiet der Erfindung
Die vorliegende Erfindung betrifft ein Isolationsverfahren für eine Halbleitervor­ richtung, und betrifft spezieller eine seichte Grabenisolation (STI) zum Isolieren von individuellen Vorrichtungen durch Ausbilden eines Grabens in einer gewünschten Tiefe in einem Halbleitersubstrat.
Beschreibung des Standes der Technik
Da die Integrationsdichte von Halbleitervorrichtungen zunimmt, nimmt der Ab­ stand zwischen einzelnen Vorrichtungen ab. Demzufolge nimmt der Isolationsabstand, der dafür erforderlich ist, um einzelne Vorrichtungen voneinander elektrisch zu isolie­ ren, beträchtlich ab. Es gibt viele Techniken, um Vorrichtungen zu isolieren. Eine her­ kömmliche Isolationstechnik, und zwar eine örtliche Oxidation von Silizium (LOCOS) wurde bei dynamischen Speichern mit wahlfreiem Zugriff (DRAM) jenseits von 64M angewendet und zwar bei einer Konstruktionsauslegung nicht größer als 0,40 µm. Jedoch wurde in den letzten Jahren eine Grabenausbildungstechnik zum Isolieren von Vorrichtungen durch Ätzen eines Abschnitts eines Halbleitersubstrats, um einen Graben auszubilden, wie beispielsweise eine seichte Grabenisolation (STI), bei der ein Graben in einer Tiefe von nicht mehr als 3 µm ausgebildet wird, weit verbreitet bei Halbleiter­ vorrichtungen angewendet. Speziell wurde die STI-Technik bei Halbleitervorrichtungen angewendet, die eine Konstruktionsauslegung von nicht mehr oder größer als 0,15 µm haben (256 M DRAM Herstellungsversion), was ohne irgendwelche schwerwiegenden Probleme erfolgen konnte.
Um durch eine herkömmliche STI-Technik einen Graben auszubilden, wird eine Nitridmaskenschicht teilweise auf einem Siliziumsubstrat ausgebildet, auf welchem die Vorrichtungen hergestellt werden. Ein Abschnitt des Halbleitersubstrats, an welchem ein Graben auszubilden ist, wird unbedeckt belassen, wobei die Eindringmarke und das Siliziumsubstrat geätzt werden, um einen Graben auszubilden. Es wird dann eine isolie­ rende Siliziumnitridschicht, die als eine STI-Auskleidungsschicht wirkt, in dem Graben ausgebildet und es wird eine Siliziumoxidschicht niedergeschlagen, um den Graben zu füllen. Die isolierende Siliziumnitridschicht wird derart planiert, daß sie mit dem Silizi­ umsubstrat abschließt, so daß die Siliziumisolierschicht lediglich in dem Graben ver­ bleibt und somit eine Vorrichtungsisolierzone festgelegt wird. Die Siliziumnitridschicht, die an den Zonen verbleibt, bei denen die Vorrichtungen ausgebildet werden, wird dann entfernt und es wird der Vorrichtungsisolierprozeß vervollständigt. Um die Siliziumni­ tridschicht zu beseitigen, die an den Zonen verbleibt, an denen die Vorrichtungen aus­ gebildet werden, kann ein Feuchtätzverfahren angewendet werden, und zwar unter Ver­ wendung einer Phosphorsäure (H3PO4) bei einer hohen Prozeßtemperatur. Es werden jedoch in den meisten Fällen auf Grund der Eigenschaften des Feuchtätzvorganges alle Schichten, die der Ätzlösung ausgesetzt sind, geringfügig geätzt und werden bei unter­ schiedlichen Ätzraten ausgewaschen. Es werden somit in einem Fall, bei dem Schich­ ten, die einem Feuchtätzprozeß ausgesetzt werden sollen, aus dem gleichen Material hergestellt, wie die isolierende Siliziumnitridschicht, die eine STI-Auskleidungsschicht ist, und es wird die Schicht und die STI-Auskleidungsschicht isotrop zur gleichen Zeit geätzt. Zusätzlich kann in einem Fall, bei welchem Schichten, die einem Feuchtätzpro­ zeß ausgesetzt werden sollen, eingeführt werden, um die elektrischen Eigenschaften eines Transistors und die Dicke der Siliziumoxidschicht aufrecht zu erhalten, welche den Graben füllt, die Schicht durch den Feuchtätzprozeß beschädigt oder zerstört wer­ den. Da darüber hinaus eine chemische Reaktion stärker ausgeprägt an einem Spalt zwi­ schen unterschiedlichen Schichten auftritt, und zwar stärker als an der Oberfläche eines Materials, können entlang der Grenze zwischen jeder der Zonen des Halbleitersubstrats Auszahnungen auftreten, an welchen Zonen die Vorrichtungen ausgebildet werden, und der Graben ausgebildet wird, und es kann sich somit der Leckstrom erhöhen und es kann eine Höckererscheinung verursacht werden, die die elektrischen Eigenschaften des Transistors beeinflußt. Zusätzlich kann in einem Fall, bei dem Muster auf einer leiten­ den Schicht ausgebildet werden (wie beispielsweise eine leitende polykristalline Silizi­ umschicht) in einem nachfolgenden Prozeß die leitende Schicht, die in den Auszahnun­ gen existiert, weiterhin verbleiben, und zwar nach dem Entfernen der leitenden Schicht, so daß elektrische Defektstellen, wie beispielsweise ein Kurzschlußausfall verursacht werden können.
ZUSAMMENFASSUNG DER ERFINDUNG
Wenigstens eine als Beispiel gewählte Ausführungsform der vorliegenden Erfin­ dung betrifft ein Isolationsverfahren für eine Halbleitervorrichtung zum Reduzieren der Möglichkeit der Entstehung von Ausbuchtungen oder Auszahnungen entlang einer Grenze zwischen jeder der Zonen des Halbleitersubstrats, auf welchem die Vorrichtun­ gen ausgebildet werden und auch der Graben gebildet wird, und zwar während eines Seichtgraben-Isolationsprozesses (STI) einer Halbleitervorrichtung.
Wenigstens eine als Beispiel gewählte Ausführungsform der vorliegenden Erfin­ dung schafft ein Isolationsverfahren für eine Halbleitervorrichtung, um den Leckstrom ohne eine Höckererscheinung in bezug auf die elektrischen Eigenschaften eines Transi­ stors zu reduzieren.
Bei wenigstens einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung wird ein Isolationsverfahren für eine Halbleitervorrichtung realisiert. Eine isolierende Maskierungsschicht bzw. Maskierungsschichtmuster wird auf Zonen eines Halbleitersubstrats ausgebildet. Es wird ein Graben in einer vorbestimmten Tiefe in dem Halbleitersubstrat unter Verwendung des isolierenden Maskenschichtmusters als Maske ausgebildet. Auf dem isolierenden Maskenschichtmuster und an der Seitenwand des Grabens wird eine Oxidschicht ausgebildet. Es wird auf der Oxidschicht eine Graben­ auskleidungsschicht ausgebildet.
Eine isolierende Füllschicht wird in dem Graben auf dem Halbleitersubstrat her­ gestellt, auf welchem die Grabenauskleidungsschicht auszubilden ist, um dadurch den Graben zu füllen. Das isolierende Maskenschichtmuster wird dann entfernt.
Bei dem Schritt der Ausbildung des isolierenden Maskenschichtmusters wird eine Anschlußoxidschicht auf dem Halbleitersubstrat durch eine Trockenoxidation ausgebil­ det und es wird eine Siliziumnitridmaskenschicht auf der Anschlußoxidschicht mit Hilfe eines chemischen Niedrigdruck-Dampfniederschlagsverfahrens (LP CVD) ausgebildet.
Um ein Grabenmuster auf der isolierenden Maskenschicht auszubilden, wird auf die isolierende Maskenschicht ein Fotoresistmaterial aufgeschichtet und es wird ein Grabenmuster mit Hilfe eines photolithographischen Prozesses hergestellt und es wird ein Grabenmuster auf einem unteren Abschnitt der isolierenden Maskenschicht durch Trockenätzen unter Verwendung des Fotoresistmaterials als Maske ausgebildet. Um in diesem Fall die Prozeßhindernisse zu reduzieren, die durch die Reflexion von Licht der isolierenden Schicht verursacht werden, und zwar bevor das Fotoresistmaterial auf die isolierende Maskenschicht aufgeschichtet wird, kann eine Antireflexionsschicht, die aus Siliziumnitrid oder Siliziumoxynitrid besteht, weiter ausgebildet werden. Darüber hinaus kann dann, wenn das Grabenmuster auf der isolierenden Maskenschicht ausge­ bildet wurde, die Anschlußoxidschicht beseitigt werden, so daß das Halbleitersubstrat freigelegt wird. Nachdem das Grabenmuster auf der isolierenden Maskenschicht ausge­ bildet worden ist, kann das Fotoresistmaterial vollständig entfernt werden.
Bei dem Schritt der Ausbildung eines Grabens in dem Halbleitersubstrat wird Si­ lizium bis zu einer Tiefe zwischen 0,1 µm und 1 µm durch ein Trockenätzverfahren geätzt unter Verwendung des isolierenden Maskenschichtmusters als Maske. In diesem Fall umfaßt im Falle, daß der Graben geätzt wird, während das Fotoresistmaterial auf dem isolierenden Maskenschichtmuster verbleibt, ein weiterer Schritt durchgeführt, und zwar ein Schritt gemäß einem Entfernen des Fotoresistmaterials. Eine Oxidschutz­ schicht zur Behebung einer Plasmabeschädigung am Graben bei dem Grabenätzvorgang und zum Reduzieren der Verunreinigung bei einem nachfolgenden Prozeß, kann an der Seitenwand oder inneren Wand des Grabens zusätzlich ausgebildet werden. Die Oxid­ schutzschicht kann durch eine thermische Oxidation hergestellt werden und kann in bevorzugter Weise durch eine Trockenoxidation hergestellt werden. Auch kann eine Siliziumoxidschicht weiter mit eingeschlossen werden, die mit Hilfe eines chemischen Dampfniederschlagsverfahrens niedergeschlagen wird.
Bei dem Schritt der Ausbildung der Oxidschicht auf der Oberfläche des isolieren­ den Maskenschichtmusters wird die Oxidschicht durch eine thermische Oxidation einer Siliziumnitridschicht hergestellt. Bei dem Schritt der Ausbildung der Oxidschicht auf der Oberfläche der Siliziumnitridschicht wird das Halbleitersubstrat, auf welchem das isolierende Maskenschichtmuster ausgebildet wird, auf eine gewünschte Temperatur erhitzt. Als nächstes wird eine Oxidschicht in einer gewünschten Dicke dadurch ausge­ bildet, indem ein Oxidationsgas auf die isolierende Maskenschicht zugeführt wird. In diesem Fall wird der Schritt der Erhitzung des Halbleitersubstrats dadurch realisiert, indem eine sehr schnelle thermische Verarbeitung oder Behandlung durchgeführt wird. Da insbesondere eine Oxidschicht in einfacher Weise auf Grund einer höheren Oxida­ tionsrate in der Siliziumnitridschicht bei einem schnellen thermischen Prozeß bzw. Ver­ arbeitung ausgebildet wird, kann die Oxidschicht in einer Dicke von 20-300 Å bei einer Temperatur zwischen 700°C und 1100°C hergestellt werden. Das Volumenver­ hältnis aus dem Wasserstoffgas zu dem gemischten Gesamtgas liegt bei 1-50%. Der Schritt der Ausbildung der Oxidschicht wird in einer Kr/O2-Plasmaatmosphäre durchge­ führt. Zusätzlich kann der Schritt der Ausbildung der Oxidschicht bei einem Druck zwi­ schen 1 Torr und 760 Torr durchgeführt werden.
Als nächstes wird die Grabenauskleidungsschicht als eine Schutzschicht herge­ stellt, so daß die Oxidschicht in dem Graben nicht durch einen nachfolgenden Feuchtreinigungsvorgang oder Feuchtätzprozeß beeinflußt wird. Die Grabenausklei­ dungsschicht wird aus einer Siliziumnitridschicht gebildet, die mit Hilfe eines chemi­ schen Niedrigdruck-Dampfniederschlagsverfahrens ausgebildet wird, so daß die Lösung oder das Fremdstoffelement nicht eindringen kann, und zwar auf Grund der vergleichs­ weise hohen Dichte und Härte, die bei der Grabenauskleidungsschicht verwendet wer­ den. Die Grabenauskleidungsschicht kann aus Bornitrid (BN) oder aus Aluminiumoxid (Al2O3) hergestellt werden und kann als Schutzschicht dienen, und zwar auf Grund der hohen Dichte, anders als die Siliziumnitridschicht. Bei einer als Beispiel gewählten Ausführungsform wird BN durch eines der folgenden Verfahren ausgebildet, und zwar durch ein chemisches Niederdruck-Dampfniederschlagsverfahren (LP CVD), ein Atom­ schicht-Niederschlagsverfahren (ALD), und es wird das Aluminiumoxid durch ein Atomschicht-Niederschlagsverfahren (ALD) ausgebildet.
Bei dem Schritt gemäß dem Füllen des Grabens mit einer isolierenden Füllschicht wird eine Siliziumoxidschicht, die aus einer isolierenden Füllschicht besteht, in dem Graben ausgebildet, um den Graben auf diese Weise vollständig zu füllen. In diesem Fall wird die Siliziumoxidschicht durch das chemische Dampfniederschlagsverfahren unter Verwendung von Plasma ausgebildet. Da die Siliziumoxidschicht eine niedrige Dichte besitzt, und zwar auf Grund von deren nicht kompakter Struktur, wird die Silizi­ umoxidschicht durch Wärmebehandlung der isolierenden Füllschicht bei einer Tempe­ ratur zwischen 800°C und 1150C verdichtet, und zwar in einer Inertgasatmosphäre, was für eine gewünschte Zeitdauer erfolgt. Als nächstes wird die verdichtete Siliziumoxid­ füllschicht durch chemisches/mechanisches Polieren planiert und beseitigt, um die iso­ lierende Füllschicht lediglich in dem Graben zurück zu lassen. In diesem Fall wird der Schritt der Planierung der isolierenden Füllschicht mit Hilfe eines chemi­ schen/mechanischen Poliervorgangs durchgeführt, und zwar unter Verwendung der iso­ lierenden Maskenschicht als eine Polierstopeinrichtung.
Nachdem die Siliziumoxidfüllschicht in den anderen Abschnitten, verschieden von dem Graben, vollständig entfernt worden ist, werden die Siliziumnitridschicht, die als die isolierende Maskenschicht verwendet wird, und die Anschlußoxidschicht mit Hilfe eines Feuchtätzverfahrens geätzt und beseitigt. Um in diesem Fall die Siliziumni­ tridschicht zu entfernen, wird als Ätzlösung, die für den Feuchtätzvorgang eingesetzt wird, Phosphorsäure (H3PO4) bzw. eine Lösung derselben verwendet, die eine hohe Ätz­ selektivität in bezug auf die Siliziumoxidschicht hat, und es wird dadurch die Silizium­ nitridschicht, die als isolierende Maskenschicht verwendet wird, entfernt, ohne dadurch wesentlich die Anschlußoxidschicht zu beeinflussen. Die Anschlußoxidschicht (pad oxid layer) wird unter Verwendung einer Siliziumoxidschichtätzlösung beseitig, wo­ durch dann ein Isolierprozeß vervollständigt wird.
In gleicher Weise kann mit Hilfe eines Isolierverfahrens für eine Halbleitervor­ richtung gemäß wenigstens einer als Beispiel gewählten Ausführungsform der vorlie­ genden Erfindung das Auftreten von Ausbuchtungen oder Auszahnungen entlang dem Rand des Grabens reduzieren, indem die Seitenwandoxidschicht gebildet wird, und zwar in einer gewünschten Dicke an der Seitenwand der isolierenden Maskenschicht, wo­ durch die elektrischen Eigenschaften einer Vorrichtung erhöht werden, und zwar hin­ sichtlich des Leckstromes oder der Schwellenwertspannung.
Bei einer anderen als Beispiel gewählten Ausführungsform nach der vorliegenden Erfindung wird ein Isolierverfahren für eine Halbleitervorrichtung geschaffen. Eine Gateisolierschicht, eine Gateleiterschicht und eine isolierende Maskenschicht wird auf­ einander folgend auf einem Halbleitersubstrat ausgebildet, auf welchem Silizium frei­ liegend ist. Die isolierende Maskenschicht, die Gateleiterschicht und die Gateisolier­ schicht werden in ein Muster gebracht, um ein isolierendes Maskenschichtmuster und ein Gate zu bilden. Es wird ein Graben in dem Silizium des Halbleitersubstrats unter Verwendung der isolierenden Maskenschicht und des Gates als Maske ausgebildet. Es wird eine Seitenwandisolierschicht in einer gewünschten Dicke auf der Oberfläche des Siliziums des Halbleitersubstrats hergestellt, welches in dem Graben freigelegt ist und auch an der Seitenwand der Gateleiterschicht des Gates, was durch eine schnelle thermi­ sche Verarbeitung erfolgt. Der Graben wird dann mit einer isolierenden Füllerschicht gefüllt. Es wird die isolierende Maskenschicht beseitigt, nachdem die isolierende Füller­ schicht planiert worden ist, und es wird ein zweites Gate an dem Gate ausgebildet, wo­ durch dann eine schwimmende oder schwebende Gateelektrode vervollständigt worden ist.
Bei dem Schritt der Ausbildung einer isolierenden Gateschicht wird die Oberflä­ che des Halbleitersubstrats gereinigt, und zwar mit Hilfe einer verdünnten HF-Lösung und einer H2SO4- Lösung und einer HCl-Lösung, die aus starken Säuren bestehen, so daß Fremdstoffe, wie beispielsweise ein Polymer und ein Schwermetall von der Ober­ fläche des Halbleitersubstrats beseitigt werden. Das Halbleitersubstrat, auf welchem das Silizium freigelegt ist, wird oxidiert, und zwar durch Zuführen eines Sauerstoffgases auf das Halbleitersubstrat, wodurch die Gateisolierschicht gebildet wird. Es wird dann eine gereinigte Gateoxidschicht gebildet, wodurch die elektrische Zuverlässigkeit der Gate­ isolierschicht erhöht wird. Die Oberfläche der Gateisolierschicht wird unter Verwen­ dung eines N2O- oder NO-Gases als eine Stickstoffquelle bzw. als Stickstoffquellengas nitriert, und zwar nachdem die Siliziumoxidschicht ausgebildet worden ist, wodurch dann eine Siliziumoxynitridschicht (SiON) gebildet wird, wobei die Siliziumoxynitrid­ schicht zu bevorzugten ist, und zwar auf Grund der Zuverlässigkeit der Gateisolier­ schicht, die verschlechtert wird, wenn die Gateisolierschicht ultradünn wird, so daß also die Zuverlässigkeit auf Grund der Siliziumoxynitridschicht erhöht wird.
Nachdem die Gateisolierschicht ausgebildet worden ist, wird eine Gateleiter­ schicht mit einer Leitfähigkeit ausgebildet und es wird eine isolierende Maskenschicht auf der Gateleiterschicht ausgebildet. Die Gateleiterschicht wird aus phosphor-(P)- oder arsen-(As)-dotiertem Polysilizium mit Hilfe eines chemischen Dampfniederschlagsver­ fahrens ausgebildet und es wird die isolierende Maskenschicht aus einer Siliziumnitrid­ schicht gebildet, und zwar mit Hilfe eines plasmaunterstützten chemischen Dampfnie­ derschlagsverfahrens (PE CVD), was bis zu einer gewünschten Dicke erfolgt, so daß die isolierende Maskenschicht als eine Maske für den Ätzvorgang eines Grabens bei einem nachfolgenden Prozeß verwendet werden kann.
Es wird Fotoresistmaterial auf die isolierende Maskenschicht aufgeschichtet und es wird ein Gatemuster und ein Grabenmuster auf dem Fotoresistmaterial durch Aus­ richtbelichtung und Entwicklungsprozesse ausgebildet. Das Gatemuster wird auf der isolierenden Maskenschicht und der Gateleiterschicht durch Trockenätzen ausgebildet, und zwar unter Verwendung des Fotoresistmaterials als Maske, auf welchem das Gate­ muster und das Grabenmuster ausgebildet wurden, und es wird gleichzeitig eine Maske für den Ätzvorgang eines Grabens hergestellt. Bei einer als Beispiel gewählten Ausfüh­ rungsform wird der unterste Abschnitt der Gateisolierschicht, der in einer Zone ausge­ bildet ist, welche das Halbleitersubstrat kontaktiert, vollständig beseitigt, so daß das Halbleitersubstrat, auf welchem Silizium freiliegend ist, freigelegt wird, und somit der Graben in einfacher Weise bei einem nachfolgenden Grabenätzprozeß geätzt werden kann. Als nächstes wird der Graben in dem Silizium des Halbleitersubstrats durch Trockenätzen hergestellt, und zwar unter Verwendung des Fotoresistmaterials und der isolierenden Maskenschicht aus eine Maske. Es kann ein Polymer auf Grund eines Ätz­ nebenproduktes in dem Graben auftreten und es kann somit das Polymer mit Hilfe eines nachfolgenden Reinigungsprozesses beseitigt werden.
Es wird eine Seitenwandisolierschicht in einer gewünschten Dicke an der Oberflä­ che des Siliziums des Halbleitersubstrats hergestellt, welches in dem Graben freigelegt wurde, und auch an der Seitenwand der Gateleiterschicht des Gates. Die Seitenwand­ isolierschicht besteht aus einer Siliziumoxidschicht, die unter Anwendung eines Druckes im Bereich zwischen 0,1 Torr und 700 Torr hergestellt wird, oxidiert wird und bei einer Prozeßtemperatur zwischen 800°C und 1150°C hergestellt wird, und zu der ein ausgewähltes Prozeßgas (oxidierendes Gas) zugeführt wird. Es werden Wasserstoffgas (H2) und Sauerstoffgas (O2) gleichzeitig verwendet, wenn die Siliziumoxidschicht aus­ gebildet wird, und es wird eine Feuchtoxidation und eine Trockenoxidation an Ort und Stelle gleichzeitig an dem Halbleitersubstrat durchgeführt. In diesem Fall werden das Wasserstoffgas und das Sauerstoffgas in einem Volumenverhältnis zwischen 1 : 50 und 1 : 5 zugeführt und somit ist die Steuerbarkeit des Prozesses bei der Ausbildung einer dünnen Siliziumoxidschicht hoch.
Es wird eine Siliziumisolierschicht dick auf der gesamten Oberfläche des Halb­ leitersubstrats ausgebildet, wodurch dann der Graben mit einer isolierenden Füller­ schicht gefüllt wird. In diesem Fall besteht die Siliziumisolierschicht aus einer Sili­ ziumoxidschicht und wird mit Hilfe eines plasmaunterstützten chemischen Dampfnie­ derschlagsverfahrens (PE CVD) unter Verwendung des Plasmas mit einer hohen Nie­ derschlagsrate und hohen Fülleigenschaften ausgebildet. Als nächstes wird die Sili­ ziumoxidschicht, die auf der isolierenden Maskenschicht ausgebildet ist, vollständig beseitigt, und zwar mit Hilfe eines Planierungsprozesses unter Verwendung eines che­ misch/mechanischen Polierverfahrens (CMP) und es wird die Siliziumoxidschicht le­ diglich in dem Graben zurück belassen, wodurch der Grabenfüllprozeß vervollständigt wird.
Ein Teil einer Halbleiterspeichervorrichtung gemäß DRAM, SRAM oder einem nichtflüchtigen Speicher (NVM), die ein einzelnes Gate verwenden, wird mit Hilfe der Prozesse hergestellt, bei denen Übergänge, Kondensatoren und eine Zwischenebenen- Dielektrikumsschicht (ILD) ausgebildet werden, und auch mit Hilfe eines Metallzwi­ schenverbindungsprozesses in Einklang mit den Eigenschaften einer Halbleiterspeicher­ vorrichtung, die hergestellt werden soll.
Eine Halbleiterspeichervorrichtung, wie beispielsweise ein Flash-Speicher oder ein EPROM oder ein EEPROM, die ein Doppelgate verwenden, basiert auf einem Pro­ zeß der Ausbildung eines zweiten Gates, was in der folgenden Weise geschieht.
Das heißt, nachdem die Isolierschicht und das Gate mit Hilfe des Grabenfüllpro­ zesses ausgebildet worden sind, wird ein zweites Doppelgate auf dem Gate hergestellt. Zuerst wird die Siliziumnitridschicht, die aus der auf dem Gate ausgebildeten Isolier­ maskenschicht besteht, entfernt, so daß ein oberer Abschnitt des Gates freigelegt wird, und es wird ein Zwischengate aus einem fremdstoffdotierten Polysilizium als ein leiten­ des Material ausgebildet, und eine Dielektrikumsschicht wird dann auf der Oberfläche des Gates hergestellt. Eine hohe Kapazität kann dadurch realisiert werden, indem ein Bereich erweitert wird, an welchem das zweite Gate das Gate kontaktiert. Die Dielektri­ kumsschicht besteht aus einer Schicht gemäß einem der Stoffe TaO5, PLZT, PZT und BST oder Oxid/Nitrid/Oxid (ONO). Es wird eine zweite Gateleiterschicht auf der Die­ lektrikumsschicht ausgebildet. Die zweite Gateleiterschicht bildet ferner eine Sili­ cidschicht auf dem dotierten Polysilizium. Es wird ein Fotoresistmaterial aufgeschichtet und es wird ein zweites Gatemuster auf der zweiten Gateleiterschicht hergestellt, und zwar durch Ausrichtbelichtung und mit Hilfe von Entwicklungsprozessen. Es wird ein Gatemuster auf die zweite Gateleiterschicht unter Verwendung des Fotoresistmaterials als Maske durch Trockenätzen übertragen, wodurch dann ein zweites Gate gebildet wird. Jedoch besitzt das zweite Gate eine Beziehung zur Signalverarbeitungsgeschwin­ digkeit der Vorrichtung. In einem Fall, bei dem die Auslegungsregel der Vorrichtung ultraeng ist, ist das fremdstoffdotierte Polysilizium nicht ausreichend und es kann somit Polycid, welches durch Verbinden von Metallsilicid mit einem niedrigeren spezifischen Widerstand hergestellt werden kann, angewendet werden. In diesem Fall wird das Sili­ cid durch ein selbstausrichtendes Silicidieren in einem Gatemuster ausgebildet, welches einer ultraschmalen Konstruktionsauslegung entspricht.
Wenn das zweite Gate hergestellt wird, nachdem das erste Gate gebildet worden ist, und die Dielektrikumsschicht aus einer hochdielektrischen Schicht besteht, wird das Zwischengate nicht zwischengefügt und es wird die Dielektrikumsschicht auf dem obe­ ren Abschnitt des Gates ausgebildet, und es kann dann das zweite Gate hergestellt wer­ den. Es kann somit die Zahl der Prozeßschritte reduziert werden, was zu reduzierten Herstellungskosten führt.
Nachdem das zweite Gate ausgebildet worden ist, wird der Herstellungsprozeß für eine Halbleiterspeichervorrichtung, wie beispielsweise einem Flash-Speicher, einem EPROM oder einem EEPROM, vervollständigt, und zwar über die Prozesse der Ausbil­ dung von Bitleitungen und Kontakten und einem Metallverbindungsprozeß.
Die Halbleiterspeichervorrichtung kann die Ausbildung von Vogelschnabelspitzen unterdrücken, die an einer Zwischenschicht zwischen den isolierenden Maskenschichten auftreten, die auf dem Gate gebildet werden, indem nämlich die Gateseitenwandisolier­ schicht an der Seitenwand des Gates ausgebildet wird, die gleichzeitig mit einem Isola­ tionsgrabenmuster ausgebildet wird, und zwar unter Verwendung der schnellen thermi­ schen Oxidation.
Bei einer anderen als Beispiel gewählten Ausführungsform der vorliegenden Er­ findung wird ein Verfahren zur Herstellung einer Siliziumoxidschicht auf einem Halb­ leitersubstrat geschaffen. Ein Halbleitersubstrat, welches Zonen enthält, auf denen Sili­ zium oder Polysilizium freiliegend ist, wird vorbereitet. Das Halbleitersubstrat wird auf einer Niedrigdruckatmosphäre bzw. in derselben aufbewahrt. Das Halbleitersubstrat wird bei einer gewünschten Prozeßtemperatur einer schnellen thermischen Wärmebe­ handlung unterworfen. Ein Reaktionsgas, welches ein Sauerstoffquellengas und ein Wasserstoffquellengas enthält, werden auf das Halbleitersubstrat aufgeleitet und bilden eine Siliziumoxidschicht an den Zonen, an denen das Silizium oder Polysilizium freilie­ gend ist, was durch eine kombinierte Oxidationsreaktion oder Feuchtoxidation und Trockenoxidation erfolgt.
Die freigelegte Zone ist eine Zone gemäß der Seitenwand eines Gates und der Seitenwand eines Grabens.
Der niedrige Druck liegt im Bereich zwischen 0,1 Torr und 700 Torr.
Die Prozeßtemperatur liegt zwischen 800°C und 1150°C.
Das Reaktionsgas besteht aus einem Mischgas aus Sauerstoff (O2) als ein Sauer­ stoffquellengas und aus Wasserstoff (H2) als ein Wasserstoffquellengas mit einem ge­ wünschten Verhältnis, und es werden das Sauerstoffgas und das Wasserstoffgas in einem Volumenverhältnis zwischen 1 : 50 und 1 : 5 zugeführt, und es wird das Sauerstoff­ gas in einer Strömungsrate zwischen 1 slm und 10 slm zugeführt.
Das Wasserstoffquellengas besteht aus einem Gas wie Deuterium (D2) oder Tri­ tium (T2) und das Sauerstoffquellengas besteht aus einem Gas wie N2O und NO. Das Reaktionsgas enthält ferner ein inertes Atmosphärengas und das Atmosphä­ rengas besteht aus einem Gas wie Stickstoff (N2), Argon (Ar) und Helium (He).
Bei dem Isolierverfahren für eine Halbleitervorrichtung gemäß wenigstens einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung wird die Silizium­ oxidschicht in dem Silizium oder Polysilizium des Halbleitersubstrats ausgebildet, und zwar unter Verwendung einer schnellen thermischen Oxidation, so daß dadurch eine Zeitdauer gemäß dem Aussetzen dem Oxidationsreaktionsgas kurz wird, indem nämlich die Siliziumoxidschicht für eine kurze Zeit ausgebildet wird, und dank der natürlichen Dispersion das Oxidationsgas nicht in die Zwischenschicht wandert und somit die Aus­ bildung von sogenannten Vogelschnabelspitzen, die an der Zwischenschicht zwischen den isolierenden Maskenschichten auftreten, die auf dem Gate ausgebildet werden, un­ terdrückt werden kann.
KURZE BESCHREIBUNG DER ZEICHNUNGEN
Die vorliegende Erfindung ergibt sich klarer aus einer detaillierten Beschreibung von als Beispiel gewählten Ausführungsformen unter Hinweis auf die beigefügten Zeichnungen, in denen zeigen:
Fig. 1 eine Querschnittsansicht, die eine Isolierzone für eine Halbleitervor­ richtung veranschaulicht, gemäß einer als Beispiel gewählten Ausfüh­ rungsform der vorliegenden Erfindung;
Fig. 2 bis 9 Querschnittsansichten, die ein Verfahren zum Isolieren von einzelnen Vorrichtungen für eine Halbleitervorrichtung gemäß einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung veranschau­ lichen;
Fig. 10 einen Einheitsprozeßströmungsplan, der ein Verfahren zur Ausbildung einer Siliziumoxidschicht auf einer Siliziumnitridschicht gemäß einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung veranschaulicht;
Fig. 11 bis 18 Querschnittsansichten, die ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß einer anderen als Beispiel gewählten Ausführungsform nach der vorliegenden Erfindung veranschaulichen;
Fig. 19 bis 21 Querschnittsansichten, die ein Verfahren zur Herstellung einer Halb­ leitervorrichtung gemäß einer andern als Beispiel gewählten Ausfüh­ rungsform der vorliegenden Erfindung veranschaulichen;
Fig. 22 einen Prozeßflußplan, der ein Verfahren zur Ausbildung einer Sili­ ziumoxidschicht auf einem Halbleitersubstrat gemäß einer anderen als Beispiel gewählten Ausführungsform der vorliegenden Erfindung ver­ anschaulicht;
Fig. 23 eine schematische Ansicht, die einen schnellen thermischen Prozessor veranschaulicht, der bei der Ausbildung einer Siliziumoxidschicht auf einem Halbleitersubstrat gemäß einer anderen als Beispiel gewählten Ausführungsform der vorliegenden Erfindung verwendet wird;
Fig. 24A und 24B photographische Darstellungen, die mit Hilfe eines elektronischen Ab­ tastmikroskops (SEM) aufgenommen wurden und die einen Abschnitt nach der Ausbildung einer Gateseitenwandoxidschicht gemäß einer anderen als Beispiel gewählten Ausführungsform der vorliegenden Er­ findung veranschaulichen, und auch einen Schnitt nach der Ausbil­ dung einer Gateseitenwandoxidschicht beim Stand der Technik wie­ dergeben; und
Fig. 24C und 24D Querschnittsansichten, welche die Fig. 24A und 24B veranschauli­ chen.
DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
Die vorliegende Erfindung wird nun vollständiger unter Hinweis auf die beige­ fügten Zeichnungen beschrieben, in denen beispielhafte Ausführungsformen der Erfin­ dung gezeigt sind. Die vorliegende Erfindung kann jedoch in vielfältigen unterschiedli­ chen Formen realisiert werden und ist nicht auf die hier im folgenden beschriebene bei­ spielhafte Ausführungsform beschränkt. Vielmehr dienen diese beispielhaften Ausfüh­ rungsformen dazu, um die Offenbarung der Erfindung sorgfältig und vollständig zu vermitteln und um das Konzept oder die Konzepte gemäß der vorliegenden Erfindung Fachleuten vollständig zu vermitteln.
Fig. 1 zeigt eine Querschnittsansicht, die eine Halbleitervorrichtung veranschau­ licht, bei der ein Isolationsverfahren für eine Halbleitervorrichtung gemäß wenigstens einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung angewendet wurde. Wie in Fig. 1 veranschaulicht ist, enthält die Halbleitervorrichtung gemäß we­ nigstens einer Ausführungsform der vorliegenden Erfindung einen Graben 110, der bis zu einer gewünschten Tiefe in einem Halbleitersubstrat 100 ausgehoben ist. Eine isolie­ rende Maskenschicht 103, auf der eine Anschlußoxidschicht 101 und eine Siliziumni­ tridschicht 102 aufeinander folgend niedergeschlagen wurden, ist als eine Maske auf der Oberfläche von Abschnitten des Halbleitersubstrats 100 ausgebildet, die nicht durch den Graben 110 belegt ist. Eine Oxidschicht 105 ist in Form einer Schutzschicht an der Sei­ tenwand und dem Boden des Grabens 110 ausgebildet. Eine Seitenwandschutzschicht 107 ist an der Seitenwand der isolierenden Maskenschicht 103 ausgebildet. Eine Gra­ benauskleidungsschicht 109 ist aus Siliziumnitrid hergestellt, und zwar in einer ge­ wünschten Dicke und auf der Oxidschicht 105 und auf der Seitenwandschutzschicht 107. Eine Siliziumoxidschicht 111 ist ausgebildet, um den Graben 110 zu füllen.
Die Fig. 2 bis 9 zeigen Querschnittsansichten, die ein als Beispiel herausgegriffe­ nes Verfahren zum Isolieren von individuellen Vorrichtungen für die Halbleitervor­ richtung veranschaulichen, die in Fig. 1 gezeigt ist. Gemäß Fig. 2 werden die Anschluß­ oxidschicht 101 und die Siliziumnitridschicht 102 aufeinander folgend auf dem Halb­ leitersubstrat 100 ausgebildet, um die isolierende Maskenschicht 103 herzustellen. Bei einer beispielhaften Ausführungsform wird die Anschlußoxidschicht 102 durch thermi­ sche Oxidation in solcher Weise ausgebildet, daß das Silizium des Halbleitersubstrats 100 mit Sauerstoff oder verdampftem Wasser (H2O) reagiert und somit oxidiert wird. Die thermische Oxidation wird bei einer Prozeßtemperatur von 900-950°C durchge­ führt. Die Siliziumnitridschicht 102 wird in einer Dicke von 500-1500 µm mit Hilfe eines chemischen Dampfniederschlagsverfahrens (CVD) ausgebildet. Die Siliziumni­ tridschicht 102 wird derart hergestellt, daß sie eine hohe Dichte und gute Härte besitzt und verbesserte mechanische Eigenschaften zeitigt, was durch Anwenden eines chemi­ schen Niedrigdruck-Dampfniederschlagsverfahrens (LP CVD) erreicht wird. Wenn je­ doch sehr feine Muster auf ein Fotoresistmaterial übertragen werden, die an späterer Stelle dadurch hergestellt werden, indem Licht auf die isolierende Maskenschicht 103 bei einem Ausrichtbelichtungsprozeß aufgebracht wird, und zwar nach der Ausbildung der isolierenden Maskenschicht 103, können die Muster nicht fein auf dem Fotore­ sistmaterial ausgebildet werden, und zwar auf Grund einer irregulären Lichtreflexion, die an der Oberfläche der isolierenden Maskenschicht 103 auftritt. Mit anderen Worten kann die kritische Bemessung bzw. das kritische Abmaß der Muster nicht gut sein. Um demzufolge die Lichtreflexion an der Oberfläche der isolierenden Maskenschicht 103 zu reduzieren, kann eine Antireflexionsschicht als weitere Schicht auf der isolierenden Maskenschicht 103 ausgebildet werden. Die Antireflexionsschicht kann aus einer Silizi­ umnitridschicht mit Hilfe eines plasmaunterstützten CVD-Verfahrens ausgebildet wer­ den oder als Siliziumoxynitridschicht, und zwar in einer gewünschten Dicke.
Gemäß Fig. 3 wird Fotoresistmaterial auf die Siliziumnitridschicht 102 aufge­ schichtet und es erfolgt ein Ausrichtungs- und Belichtungsprozeß unter Verwendung einer Schrittschaltvorrichtung, die eine Lehre enthält, auf der ein Grabenmuster ausge­ bildet ist, wobei dann eine Entwicklung unter Verwendung eines Entwicklers vorge­ nommen wird, wodurch die Fotoresistmaterial 201 entsteht, auf der ein Grabenmuster ausgebildet wird. Es wird dann die isolierende Maskenschicht 103 durch ein Trockenätzverfahren geätzt, wodurch dann das Grabenmuster ausgebildet wird. Bei ei­ ner als Beispiel vorgestellten Ausführungsform wird die isolierende Maskenschicht 103 anisotrop trocken geätzt, und zwar mit Hilfe eines reaktiven Ionenätzverfahrens oder eines plasmaunterstützten Trockenätzverfahrens. Die isolierende Maskenschicht 103 kann auf wenigstens zwei unterschiedliche Arten trocken geätzt werden. Eine erste Möglichkeit besteht darin, daß lediglich die Siliziumnitridschicht 102 geätzt wird, wo­ bei die Anschlußoxidschicht 101 unter der Siliziumnitridschicht 102 belassen wird. Eine zweite Möglichkeit besteht darin, daß die Siliziumnitridschicht 102 und die Anschluß­ oxidschicht 101 geätzt werden, um das Silizium auf dem Halbleitersubstrat 100 freizu­ legen.
Gemäß Fig. 4 wird das Silizium des Halbleitersubstrats 100 in einer gewünschten Tiefe mit Ausnehmungen versehen, und zwar unter Verwendung der isolierenden Mas­ kenschicht 103, auf die das Grabenmuster in Form einer Maske übertragen oder aufge­ tragen wird, um dadurch einen Graben 110 auszubilden. Die Tiefe des Grabens 110 kann in einem Bereich zwischen 0,1 µm und 1 µm liegen, was von den Eigenschaften oder der Konstruktionsauslegung einer Halbleitervorrichtung abhängig ist. In bevor­ zugter Weise wird der Graben 110 so ausgebildet, daß er zum Bodenabschnitt desselben hin konisch verläuft bzw. sich verjüngt, um dadurch die Möglichkeit der Ausbildung von Lehrstellen (voids) zu reduzieren, die in einem Füllmaterial erzeugt werden, wel­ ches in dem Graben 110 bei einem nachfolgenden Prozeß niedergeschlagen wird. Der Grabenätzvorgang kann durchgeführt werden, indem das Fotoresistmaterial 201 auf der isolierenden Maskenschicht 103 verbleibt, oder kann derart durchgeführt werden, indem lediglich die isolierende Maskenschicht 103 als Maske verwendet wird, nachdem das Fotoresistmaterial 201 mit Hilfe eines Reinigungsprozesses vollständig entfernt worden ist. Um die Möglichkeit zu reduzieren, daß das Silizium des Halbleitersubstrats 100 durch organische Materialien verunreinigt wird, die in dem Fotoresistmaterial 201 ent­ halten sind, kann das Fotoresistmaterial 201 vollständig entfernt werden und es wird dann das Halbleitersubstrat 100 einem Grabenätzvorgang unterworfen unter Verwen­ dung von lediglich der isolierenden Maskenschicht 103 als Maske.
Gemäß Fig. 5 wird eine Oxidschutzschicht 105 auf der Seitenwand und dem Bo­ den des Grabens 110 ausgebildet, der durch den Grabenätzvorgang gebildet worden ist, und zwar durch thermische Oxidation. Die thermische Oxidation bildet eine Art einer Trockenoxidation und bildet eine Siliziumoxidschicht aus, indem nämlich Sauerstoff- (O2)-Gas in den Graben 100 bei einer relativ hohen Temperatur von 950°C eingeleitet wird, wobei während dieses Vorganges Chlorwasserstoffsäuregas (HCl) in bevorzugter Weise injiziert wird, um verunreinigte Materialien an der Zone zu entfernen, an welcher das Silizium freigelegt wird (dieser Prozeß wird als Reinigungsoxidation bezeichnet). Als ein Ergebnis wird die Oxidschutzschicht 105, die nicht durch Materialien verunrei­ nigt ist, in dem Graben 110 ausgebildet. Die Oxidschutzschicht 105 kann kaum an Zo­ nen ausgebildet werden, bei denen eine Siliziumnitridschicht oder eine Siliziumoxid­ schicht ausgebildet worden ist. Die Oxidschutzschicht 105 wird eingeführt, um Plasma­ beschädigungen an dem Graben 110 zu beseitigen, und zwar bei dem Grabenätzvor­ gang, und um Defektstellen zu verringern, die auf Grund einer Plasmabeschädigung eingeführt wurden, indem nämlich die defekten Abschnitte oxidiert werden. Darüber hinaus kann die Oxidschutzschicht 105 Verunreinigungen reduzieren, wie beispiels­ weise solche von Übergangsmetallen oder organischen Materialien, und kann verhin­ dern, daß diese in das Siliziumsubstrat infiltriert werden, und zwar in dem Graben 110, und wie wirkt auch als eine Pufferschicht zum Reduzieren der sich sammelnden Span­ nung einer ausfüllenden isolierenden Schicht, die zu einem späteren Zeitpunkt ausgebil­ det wird, um den Graben 110 zu füllen, wobei verhindert wird, daß diese Spannung di­ rekt auf die Seitenwand des Grabens 110 übertragen wird.
Als nächstes wird eine Siliziumoxidschicht auf der Oberfläche der isolierenden Maskenschicht 103 ausgebildet, die aus einer Siliziumnitridschicht besteht, was mit Hilfe einer schnellen thermischen Oxidation erfolgt. Hierbei kann die Siliziumoxid­ schicht an der Seitenwand der isolierenden Maskenschicht 103 und der Seitenwand oder der inneren Wand des Grabens 110 gleichzeitig ausgebildet werden, und zwar durch eine schnelle thermische Oxidation. Es kann eine Feuchtoxidation oder Trockenoxida­ tion als schnelle thermische Oxidation angewendet werden. In den meisten Fällen wird die Siliziumnitridschicht einfacher durch eine Feuchtoxidation oxidiert, und zwar unter Anwendung eines schnellen thermischen Prozesses (RTP). Die Siliziumoxidschicht wird auf der Siliziumnitridschicht bei einer Prozeßtemperatur zwischen 700°C und 1150°C ausgebildet, und zwar unter Verwendung des RTP und unter Zuführung eines Mischgases aus Sauerstoff und Wasserstoff mit einem angemessenen Verhältnis O2 : H2, wobei dieses Mischgas in einen Reaktor eingeleitet wird. Bei einer als Beispiel gewähl­ ten Ausführungsform liegt das Volumenverhältnis zwischen Wasserstoff, der in den Reaktor eingeleitet wird, zu dem gesamten Mischgas bei etwa 1-50%. Der Druck in dem Reaktor kann in einem Bereich zwischen 1 Torr und 760 Torr eingestellt werden. Als ein Ergebnis wird eine Seitenwandoxidschicht 107 an der Seitenwand und der obe­ ren Oberfläche der isolierenden Maskenschicht 103 ausgebildet und es wird die Oxid­ schutzschicht 105 dicker (in einem Fall, bei dem die Oxidschutzschicht 105 nicht ge­ trennt ausgebildet wurde, kann die Oxidschutzschicht 105 an der Seitenwand des Gra­ bens 110 bei diesem Schritt ausgebildet werden). Es können daher Gitterspannungen, die durch Fehlplazierungen oder Stapelvorgänge bzw. Stapelfehler verursacht werden und bei der Ausbildung des Grabens 110 auftreten, reduziert werden, wodurch dann die elektrischen Eigenschaften einer Halbleitervorrichtung verbessert werden, und zwar nachdem all die Prozesse, die zur Herstellung der Halbleitervorrichtung erforderlich sind, vervollständigt worden sind.
Gemäß Fig. 6 wird eine Grabenauskleidungsschicht 109 aus einer Siliziumnitrid­ schicht auf der Oxidschutzschicht 104 und der Seitenwandoxidschicht 107 ausgebildet, und zwar mit Hilfe eines chemischen Niedrigdruck-Dampfniederschlagsverfahrens (LP CVD). Die Grabenauskleidungsschicht 109, die so ausgebildet wird, daß sie eine hohe Dichte besitzt, reduziert die Möglichkeit, daß die isolierende Füllschicht 111 oder die Anschlußoxidschicht 101 benachbart dem oberen Abschnitt des Grabens 110 überätzt wird, und zwar bei einem nachfolgenden Feuchtprozeß, wie beispielsweise einem Feuchtreinigungsprozeß oder einem Feuchtätzprozeß, und es wird somit das Auftreten von Auszahnungen entlang der Grenze zwischen der isolierenden Füllschicht 111 und der Anschlußoxidschicht 101 in dem Graben 110 reduziert.
Als nächstes wird die isolierende Füllschicht 111, die als eine Siliziumoxidschicht ausgebildet wird, in dicker Form auf der Grabenauskleidungsschicht 109 niedergeschla­ gen, um dadurch den Graben 110 zu füllen. Die isolierende Füllschicht 111 kann mit Hilfe eines chemischen Niedrigdruck-Dampfniederschlagsverfahrens (LP CVD) oder mit Hilfe eines plasmaunterstützten chemischen Dampfniederschlagsverfahrens (PE CVD) unter Verwendung eines Plasmas ausgebildet werden. Die isolierende Füllschicht 111 kann dadurch hergestellt werden, indem ein chemisches Hochdichteplasma-Dampf­ niederschlagsverfahren (HDP CVD) angewendet wird. Es kann eine Ozontetraethyl­ orthosilikat-(TEOS(SiOC2H2)4)-Oxidschicht, eine silangestützte Oxidschicht oder eine undotierte Silikatglas-(USG)-Schicht für die isolierende Füllschicht 111 verwendet werden. Alternativ kann eine Mischschicht gemäß einem der Stoffe entsprechend einem Hochtemperaturprozeßoxid (HTO) und einem Borphosphorsilikatglas (BPSG) und ge­ mäß einem der Stoffe gemäß Ozontetraethylorthosilikat, silangestütztes Oxid und USG dafür verwendet werden, um die isolierende Füllschicht 111 zu bilden. Nachdem die isolierende Füllschicht 111 niedergeschlagen worden ist, um den Graben 110 vollstän­ dig auszufüllen, wird die isolierende Füllschicht 111 verdichtet, und zwar bei einer Pro­ zeßtemperatur zwischen 800°C und 1150°C in einer inerten Atmosphäre. Es wird dann die isolierende Füllschicht 111 kondensiert und verdichtet, um eine hohe mechanische Festigkeit und einen hohen chemischen Widerstand zu realisieren. Es kann somit die isolierende Füllschicht 111 nicht in einer Fluorsäurelösung geätzt werden, wie bei­ spielsweise HF oder gepuffertem HF (BHF), was eine Ätzlösung darstellt, und zwar für eine Siliziumoxidschicht, die bei einem nachfolgenden Ätzprozeß zur Anwendung ge­ langt, und die genannte Füllschicht kann nach dem Ätzprozeß zurück belassen werden, wodurch die Möglichkeit reduziert wird, daß der Rand des Grabens 110 zusammenfällt und es wird auch das Auftreten von Lehrstellen um das Zentrum des Grabens 110 herum reduziert.
Gemäß Fig. 7 wird die isolierende Füllschicht 111, die auf dem Halbleitersubstrat 100 ausgebildet wurde, mit Ausnahme der Abschnitte der isolierenden Füllschicht 111, welche den Graben 110 füllen, entfernt. Die isolierende Füllschicht 111 wird poliert, und zwar auf die Ebene der Siliziumnitridschicht 102 mit der isolierenden Masken­ schicht 103, was mit Hilfe eines chemisch/mechanischen Poliervorganges erfolgt. Als ein Ergebnis kann die isolierende Füllschicht 111 lediglich in dem Graben 111 belassen werden. Bei dem chemisch/mechanischen Polierprozeß kann eine Rezeptur verwendet werden, die eine niedrige Polierselektivität einer Siliziumnitridschicht bei einer Silizi­ umoxidschicht zeitigt, und zwar zu dem Zweck, um die darunter liegenden Schichten zu schützen, und auch das Silizium des Halbleitersubstrats 100 zu schützen, welches unter der Siliziumoxidschicht 111 gelegen ist.
Gemäß Fig. 8 wird zuerst die Siliziumnitridschicht 102, welche die isolierende Maskenschicht 103 aufweist, die auf der Zone ausgebildet ist, an der die Vorrichtungen ausgebildet werden sollen, entfernt, um den Isolationsprozeß und den Freilegeprozeß des Siliziums des Halbleitersubstrats 100 zu vervollständigen. Die Siliziumnitridschicht 102 kann durch Trockenätzen oder auch durch Feuchtätzen unter Verwendung einer Ätzlösung entfernt werden. Um den Ätzprozeß durchzuführen, ohne eine Plasmabe­ schädigung des Siliziums des Halbleitersubstrats 100 zu verursachen, kann die Silizi­ umnitridschicht 102 durch einen Feuchtätzvorgang reduziert werden, und zwar unter Verwendung einer Phosphorsäure (H3PO4). Wenn die Siliziumnitridschicht 102 nicht von der Oberfläche der Anschlußoxidschicht 100 entfernt wird, kann die Anschlußoxid­ schicht 101 sehr gut bei einem nachfolgenden Ätzprozeß geätzt werden. Somit kann die Siliziumnitridschicht 102 für ca. 100-200% einer Bezugsätzzeit überätzt werden, so daß die Siliziumnitridschicht 102 vollständig von der Oberfläche der Anschlußoxid­ schicht 101 entfernt wird. Auf Grund des Ätzprozesses zur Beseitigung der Siliziumni­ tridschicht 102 werden die Anschlußoxidschicht 101 und die Isolierfüllschicht 111 ge­ ringfügig angeätzt und werden ein klein wenig ausgewaschen und die Grabenausklei­ dungsschicht 109, die zwischen der Seitenwandoxidschicht 107 und der isolierenden Füllschicht 111 zwischengefügt ist, neigt auch dazu, geringfügig angeätzt und mit Aus­ nehmungen versehen zu werden. Da jedoch die Ätzrate der Grabenauskleidungsschicht 109 sehr niedrig ist, kann die Tiefe, bis zu welcher die Grabenauskleidungsschicht 109 geätzt wird, nicht die darunter liegende Oberfläche des Halbleitersubstrats 100 errei­ chen.
Gemäß Fig. 9 kann die Anschlußoxidschicht, die auf der Zone verbleibt, an der eine Vorrichtung plaziert werden kann, entfernt werden, um die Oberfläche des Halb­ leitersubstrats 100 freizulegen. Die Anschlußoxidschicht kann mit Hilfe eines Feuch­ tätzverfahrens entfernt werden. Eine HF oder BHF enthaltende Lösung oder eine ver­ dünnte Lösung aus HF oder BHF kann als Ätzlösung verwendet werden. Um die Was­ sermarken bzw. Wasserflecken zu reduzieren, die in einfacher Weise ausgebildet wer­ den, nachdem ein Ätzprozeß durchgeführt worden ist, und die auf dem Halbleitersub­ strat 100 verbleiben, kann eine Peroxid-(H2O2)-Behandlung an dem Halbleitersubstrat 100 vorgenommen werden und es kann das Halbleitersubstrat 100 mit Hilfe eines isotropen Alkohol-(IPA)-Trocknungsverfahrens getrocknet werden. Während des Feuchtätzprozesses werden sowohl die Seitenwandoxidschicht 107 als auch die An­ schlußoxidschicht 101 geätzt und entfernt und es wird auch die isolierende Füllschicht 111, die aus einer Siliziumoxidschicht gebildet ist und die nach außen hin freiliegend ist, bis zu einer gewünschten Dicke geätzt bzw. freigelegt. Als ein Ergebnis können, wie in Fig. 9 gezeigt ist, die oberen Oberflächen der isolierenden Füllschicht 111, der Gra­ benauskleidungsschicht 109 und der Oxidschutzschicht 105 nahezu fluchtend mit der Oberfläche des Halbleitersubstrats 100 gemacht werden. Jedoch ist die isolierende Füll­ schicht 111, die keinen Stufenunterschied in bezug auf die Oberfläche des Halbleiter­ substrats 100 aufweist, nicht immer gut. Vielmehr kann die isolierende Füllschicht 111 so ausgebildet werden, daß sie einen Stufenunterschied zur Oberfläche des Halbleiter­ substrats 100 besitzt. Zu diesem Zweck kann der Graben 110 so ausgebildet werden, daß er einen Stufenunterschied aufweist, der geringfügig höher ist als bei den anderen Abschnitten des Halbleitersubstrats 100, indem nämlich die Dicke der isolierenden Maskenschicht 103 und der Poliergrad der isolierenden Maskenschicht 103, die Dicke der Anschlußoxidschicht 101 und der Grad, bis zu welchem die Anschlußoxidschicht 101 geätzt wird, eingestellt werden.
Wie oben beschrieben wurde, kann das Isolationsverfahren für eine Halbleitervor­ richtung bei wenigstens einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung die Möglichkeit reduzieren, daß Auszahnungen entlang dem Rand des Gra­ bens 110 entstehen, indem nämlich die Seitenwandoxidschicht 107 in einer gewünsch­ ten Dicke an der Seitenwand der isolierenden Maskenschicht 103 ausgebildet wird. Darüber hinaus ist es gemäß dem Isolationsverfahren für eine Halbleitervorrichtung gemäß einer als Beispiel gewählten Ausführungsform der vorliegenden Erfindung mög­ lich, eine Beschädigung des Grabens 110 zu reparieren und auch Defektstellen zu be­ seitigen, die durch den Ätzvorgang des Grabens verursacht werden, indem die Seiten­ wandoxidschicht 107 bei einer hohen Prozeßtemperatur (oder Anwendung eines Hochtemperaturprozesses) ausgebildet wird, und es ist auch möglich, den Leckstrom zu reduzieren, nachdem die Herstellung einer Halbleitervorrichtung vervollständigt worden ist. Es ist darüber hinaus auch möglich, die elektrischen Eigenschaften einer Vorrich­ tung zu verbessern, indem das Auftreten von unerwünschten Erscheinungen reduziert wird, wie beispielsweise eine Höckerbildungserscheinung, die in Verbindung mit der Schwellenwertspannung in der I-V-Kurve auftritt.
Fig. 10 zeigt einen Einheitsprozeßflußplan, der einen Schritt gemäß Ausbildung einer Siliziumoxidschicht auf einer Siliziumnitridschicht durch thermische Oxidation gemäß dem Isolationsverfahren für eine Halbleitervorrichtung einer als Beispiel ge­ wählten Ausführungsform der vorliegenden Erfindung veranschaulicht. Wie in Fig. 10 gezeigt ist, wird eine Nitridschicht mit einem Muster auf einem Halbleitersubstrat bei dem Schritt s1 hergestellt. Das Halbleitersubstrat wird plötzlich auf eine gewünschte Prozeßtemperatur in einem Hochtemperaturreaktor oder in einer Hochtemperaturreak­ tionskammer bei einem Schritt s2 erhitzt. Eine Siliziumoxidschicht wird in einer ge­ wünschten Dicke auf der Siliziumnitridschicht ausgebildet, indem eine Reaktionssub­ stanz (ein Element) injiziert wird, welches mit dem Silizium reagiert, um eine Oxid­ schicht zu bilden, wie beispielsweise ein Oxidationsgas, und es wird das Reaktionsmate­ rial in Berührung mit dem Halbleitersubstrat gebracht, was bei dem Schritt s3 erfolgt.
Bei einer beispielhaften Ausführungsform liegt die Prozeßtemperatur, die dafür erforderlich ist, um das Halbleitersubstrat zu erhitzen, in einem Bereich zwischen 700°C und 1100°C und zusätzlich wird der Druck des Reaktors oder der Reaktionskammer in einem Bereich zwischen 1 Torr und 760 Torr eingestellt.
Das Oxidationsgas kann aus einem Mischgas aus Sauerstoff (O2) und Wasserstoff (1-12) bestehen, mit einem geeigneten Verhältnis O2:H2. Bei einer beispielhaften Ausfüh­ rungsform kann das Volumen des H2-Gases so eingestellt werden, daß es geringer ist als dasjenige des O2-Gases und es kann somit das Volumenverhältnis zwischen dem H2-Gas zu dem Mischgas bei 1-50% liegen unter Berücksichtigung der Wahrscheinlichkeit einer abrupten Explosion.
Um das Sauerstoffgas als Plasmatyp zuzuführen, kann ein Reaktionsgas, welches Kr und Sauerstoff O2-Gas enthält, in eine Plasmareaktionskammer injiziert werden und es wird somit das Sauerstoffgas in ein Sauerstoffplasma umgewandelt. Das Sauerstoff­ plasma wird dem Halbleitersubstrat zugeführt. Es tritt dann eine Reaktion zwischen der Siliziumnitridschicht und dem Sauerstoffplasma auf und diese Reaktion kann einfacher induziert werden und es kann somit eine Siliziumoxidschicht schneller anhand der Re­ aktion hergestellt werden.
Es kann eine Siliziumoxidschicht durch Oxidieren von polykristallinem Silizium, welches durch ein chemisches Dampfniederschlagsverfahren ausgebildet wurde, anstelle einer Oxidschicht, die durch thermische Oxidation ausgebildet wird oder durch chemi­ sches Dampfniederschlagen ausgebildet wird, die bei den beispielhaften Ausführungs­ formen der Erfindung angewendet werden, für die Seitenwandoxidschicht 107 verwen­ det werden.
Anstelle der Siliziumnitridschicht gemäß den beispielhaften Ausführungsformen nach der vorliegenden Erfindung kann Bornitrid (BN) oder ein Aluminiumoxid (Al2O3) bzw. eine Schicht aus diesem Material für die Grabenauskleidungsschicht 109 verwen­ det werden. Das BN kann mit Hilfe eines chemischen Niedrigdruck-Dampfnieder­ schlagsverfahrens (LP CVD) oder mit Hilfe eines Atomschichtniederschlagsverfahrens (ALD) ausgebildet werden, wobei es sich um einen Typ eines fotochemischen Dampf­ niederschlagsverfahrens handelt. Da jedoch die Grabenauskleidungsschicht 109 in dün­ ner Form ausgebildet werden muss, kann das BN bzw. die Schicht daraus durch ALD ausgebildet werden. Auch kann im Falle der Ausbildung einer Anschlußoxidschicht als Grabenauskleidungsschicht 109 das ALD-Verfahren verwendet werden.
Die Fig. 11 bis 18 zeigen Querschnittsansichten, die ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß einer anderen beispielhaften Ausführungsform der vorliegenden Erfindung veranschaulichen. Um eine Diskriminierung zwischen der oben erläuterten beispielhaften Ausführungsform und dieser beispielhaften Ausführungsform zu erreichen, werden andere Elemente mit anderen Bezugszeichen versehen, exklusive der Bezugszeichen für ein Halbleitersubstrat, und werden weiter unten beschrieben.
Gemäß Fig. 11 wird eine Gateisolierschicht 121 auf dem Halbleitersubstrat 100 ausgebildet, auf welchem das Silizium freiliegend ist. Hierbei wird eine Siliziumnitrid­ schicht, die aus einer Siliziumoxidschicht besteht, die durch ein Stickstoffquellengas nitriert wird, als auch eine Siliziumoxidschicht für die Gateisolierschicht 121 verwen­ det.
Nach der Ausbildung der Gateisolierschicht 121 wird eine Gateleiterschicht 122 auf der Gateisolierschicht 121 ausgebildet. Die Gateleiterschicht 122 besteht aus einer Schicht, die eine gegebene Leitfähigkeit besitzt, und es kann polykristallines Silizium für die Gateleiterschicht verwendet werden, welches mit Phosphor (P) oder Arsen (As) dotiert wurde, verwendet werden. Die Gateleiterschieht 122 kann unter Anwendung eines chemischen Niedrigdruck-Dampfniederschlagsverfahrens (LP CVD) ausgebildet werden und es können Fremdstoffe an Ort und Stelle eindotiert werden, indem ein Sili­ ziumquellengas und ein mit Phosphor (P) dotiertes Quellengas zugeführt werden, und zwar auf das Halbleitersubstrat 100, und zur gleichen Zeit, was dann zu einem einfachen Prozeß und einer einheitlichen Konzentration des Dotierstoffes führt.
Die Gateleiterschicht 122 kann dadurch ausgebildet werden, indem ein Metallsili­ cid mit einem niedrigen Flächenwiderstand (Rs), wie beispielsweise Wolframsilicid (WSi), Titansilicid (TiSi) oder Kobaltsilicid (CoSi) kombiniert werden, wenn Eigen­ schaften erforderlich sind, die nicht den Flächenwiderstand (Rs) überschreiten, was durch Eindotieren von Fremdstoffen, wie beispielsweise Phosphor (P), in das polykri­ stalline Silizium erreicht wird.
Nach der Ausbildung der Gateleiterschicht 122 wird eine Siliziumnitridschicht als isolierende Maskenschicht 140 auf der Gateleiterschicht 122 ausgebildet. Da eine Schicht dickenmäßig angeätzt wird, wenn ein Gatemuster und ein Grabenmuster einge­ ätzt werden, kann die Siliziumnitridschicht als eine Schutzschicht wirken, so daß eine physikalische Kollision mit dem Plasma, dem diese für eine lange Zeit ausgesetzt wird und Beschädigungen, die durch einen Schock in Form von elektrischer Energie verur­ sacht werden, und zwar beim Ätzvorgang, reduziert werden kann. Eine zu ätzende Schicht ist gewöhnlich dick und Fotoresistmaterial verbleibt nicht als Maskenschicht übrig, bis ein Graben geätzt wird, und es kann somit die Siliziumnitridschicht auch als eine Ätzmaske wirken. Die isolierende Maskenschicht 140 wird als eine Schicht ausge­ bildet, die eine geringe Spannung auf die Gateleiterschicht 122 überträgt, die unter der isolierenden Maskenschicht 140 ausgebildet ist oder die zusätzlich zu dem Silizium des Halbleitersubstrats 100 eine geringe Spannung überträgt, und zwar selbst dann, wenn die isolierende Maskenschicht 140 so ausgebildet wird, daß sie dicker ist als eine Schicht, die höhere mechanische Eigenschaften auf Grund einer höheren Dichte und einer größeren Härte als isolierende Maskenschicht 140 besitzt. Es kann somit eine Sili­ ziumnitridschicht unter Verwendung eines plasmaunterstützten CVD-Plasmaverfahrens ausgebildet werden. Die Siliziumnitridschicht (Si3N4) kann auch mit Hilfe des LP-CVD- Verfahrens hergestellt werden, wenn eine Schicht Reinheit oder Härte erfordert.
Es werden auf diese Weise die Gateisolierschicht 121, die Gateleiterschicht 122 und die isolierende Maskenschicht 140 aufeinander folgend auf dem Halbleitersubstrat 100 ausgebildet. In einem Fall, bei dem die Gateleiterschicht 122 und die isolierende Maskenschicht 140 miteinander in Kontakt stehend ausgebildet werden und aus polykri­ stallinem Silizium gebildet werden bzw. einer Siliziumnitridschicht gebildet werden, und zwar auf Grund einer ausgezeichneten Hafteigenschaft, kann die Gateleiterschicht 122 durch ein polykristallines Silizium beschädigt werden, welches als darunter lie­ gende Schicht bei einem nachfolgenden Prozeß verwendet wird, um die isolierende Maskenschicht 140 abzustreifen. Es kann somit eine Siliziumoxidschicht, die mit Hilfe des CVD-Verfahrens hergestellt wird, zwischen die Gateleiterschicht 122 und die isolie­ rende Maskenschicht 140 als eine isolierende Pufferschicht 130 zwischengefügt werden, und es wird eine Siliziumnitridschicht auf der Siliziumoxidschicht als isolierende Mas­ kenschicht 140 ausgebildet. Eine Mitteltemperaturoxidschicht (MTO), eine TEOS- Oxidschicht oder eine Hochtemperaturoxidschicht (HTO), die unter Anwendung des LP- CVD-Verfahrens hergestellt werden, und zwar als Siliziumoxidschicht, können für die isolierende Pufferschicht 130 verwendet werden.
Gemäß Fig. 12 wird die isolierende Maskenschicht 140 mit einem Fotoresistmate­ rial 200 beschichtet und es werden Gate- und Grabenmuster auf dem Fotoresistmaterial 200 mit Hilfe einer Ausrichtbelichtung und Entwicklungsprozesse ausgebildet. Zuerst werden die Gate- und Grabenmuster mit Hilfe eines Trockenätzverfahrens in der isolie­ renden Maskenschicht 140 ausgebildet, die aus einer Siliziumnitridschicht gebildet ist, und zwar unter Verwendung des Fotoresistmaterials 200, auf welchem die Gate- und Grabenmuster ausgebildet sind, als Maske. Die darunter liegende isolierende Puffer­ schicht 130 in Form einer Siliziumoxidschicht und die Gateleiterschicht 122 werden nachfolgend trocken geätzt unter Verwendung des Fotoresistmaterials 200 als Maske, und es werden dann die Gate- und Grabenmuster als eine Maske übertragen, wodurch ein Gate 120 ausgebildet wird. In einem solchen Fall wird die Gateisolierschicht 121 durch ein Überätzverfahren vollständig entfernt und es wird das Silizium 101 des Halb­ leitersubstrats 100 bis zu einer gewünschten Tiefe geätzt, und zwar unter Verwendung des verbliebenen Fotoresistmaterials 200 und der isolierenden Maskenschicht 140 als Maske, wodurch dann ein Graben 150 ausgebildet wird, der in das Silizium 101 hinab reicht. Danach wird das verbliebene Fotoresistmaterial 200 und werden die Polymere, die während des Grabenätzvorganges entstanden sind, durch Feuchtreinigung beseitigt. Auf diese Weise können das Gate 120 und ein Graben 150 zum Isolieren der einzelnen Vorrichtungen gleichzeitig auf dem Halbleitersubstrat 100 ausgebildet werden.
Gemäß Fig. 13 wird eine Auskleidungsisolierschicht 170 an der Seitenwand des Grabens 150 ausgebildet, an der das Silizium 101 freiliegt, und es wird eine Gatesei­ tenwandisolierschicht 125 an einer Seitenwand des Gates 120 ausgebildet, zu der hin die Gateleiterschicht 122 freiliegend ist. Die Auskleidungsisolierschicht 170 und die Gate­ seitenwandisolierschicht 125 werden aus einer Siliziumoxidschicht mit Hilfe einer thermischen Oxidation hergestellt. Die Auskleidungsisolierschicht 170 und die Gate­ seitenwandisolierschicht 125 werden durch eine Oxidationsreaktion eines ausgewählten Oxidationsgases ausgebildet, welches zu der Seitenwand des Grabens 150 zugeführt wird, an der das Silizium 101 freiliegt, und zu der Seitenwand des Gates 120 zugeführt wird, was durch Erhitzen des Halbleitersubstrats 100 auf eine gewünschte Temperatur erfolgt, und zwar unter Erhitzen des Siliziums. Das Oxidationsgas kann aus einem Mischgas aus Wasserstoff (H2) und Sauerstoff (O2) bestehen und es bewirkt eine Feucht- und Trockenoxidationsreaktion mit dem Silizium, welches an dem Halbleiter­ substrat 100 freiliegend ist, um dadurch eine Siliziumoxidschicht (SiO2) zu bilden. So­ mit besitzt die Siliziumoxidschicht die beiden Eigenschaften, die durch die Trockenoxi­ dation und durch die Feuchtoxidation herbeigeführt werden. Das Halbleitersubstrat 100 kann mit Hilfe einer schnellen thermischen Verarbeitung erhitzt werden, die eine kurze Zeitdauer von ca. einigen Sekunden bis einigen zehn Sekunden benötigt, um dadurch eine gewünschte Prozeßtemperatur zu erhöhen, derart, daß ein Prozeßzeit- und thermi­ sches Budget, welches sich an dem Halbleitersubstrat 100 akkumuliert hat, reduziert werden. Eine Prozeßtemperatur zur Ausbildung einer Oxidschicht hängt von der Dicke einer Siliziumoxidschicht ab, die ausgebildet werden soll, es wird jedoch eine Oxid­ schicht bei einer vergleichsweise hohen Temperatur zwischen 800°C und 1150°C aus­ gebildet, wodurch die Eigenschaften der Oxidschicht verbessert werden. In einem Fall, bei dem die Gateseitenwandisolierschicht 125 und die Auskleidungsisolierschicht 170 als Siliziumoxidschicht ausgebildet werden, und zwar in dünner Form ausgebildet wer­ den, liegt die Wachstumsrate der Oxidschicht hoch und es ist somit schwierig, die Dicke und die Einheitlichkeit der Oxidschicht zu steuern, und es wird die Oxidschicht bei ei­ nem niedrigen Druck zwischen 0,1 Torr und 700 Torr ausgebildet, um die Wachstums­ rate zu reduzieren. Auf diese Weise wird die Seitenwand der isolierenden Schicht, die als eine Maske verwendet wird, oxidiert, wodurch die Vogelschnabelspitzenerscheinung reduziert wird, die an einer Zwischenschicht zwischen dem oberen Abschnitt des Gates und der isolierenden Maskenschicht 140 auftritt.
Gemäß Fig. 14 wird eine dicke isolierende Füllschicht 190 auf dem Halbleitersub­ strat 100 ausgebildet, um einen Graben 150 zu füllen. Die isolierende Füllschicht 190 kann aus einer Siliziumoxidschicht bestehen, die durch das CVD-Verfahren unter Ver­ wendung des LP-CVD-Verfahrens oder Plasmas ausgebildet wird.
Gemäß Fig. 15 wird die isolierende Füllschicht, die auf dem Halbleitersubstrat 100 ausgebildet ist, bis zu einer gewünschten Dicke mit Hilfe eines Planierungsprozes­ ses entfernt. Wie in Fig. 15 gezeigt ist, wird ein chemisch/mechanisches Polierverfahren an dem oberen Abschnitt der isolierenden Maskenschicht 140 durchgeführt, und zwar unter Verwendung der isolierenden Maskenschicht 140 als Polierstopeinrichtung, um die isolierende Füllschicht 190 zu polieren, wodurch die isolierende Füllschicht 190 lediglich in einer Grabenzone zurück bleibt, um die einzelnen Vorrichtungen zu isolie­ ren.
Gemäß Fig. 16 werden die isolierende Füllschicht 190, die isolierende Masken­ schicht 140 und die isolierende Pufferschicht 130 gleichmäßig bei einem Abschnitt be­ nachbart der oberen Oberfläche des Gates 120 entfernt und es wird die isolierende Mas­ kenschicht 140, die auf dem Gate 120 verbleibt, selektiv durch Freilegen der oberen Oberfläche des Gates 120 beseitigt. Die isolierende Maskenschicht 140 kann bis zu der oberen Oberfläche des Gates 120 auf wenigstens zwei unterschiedliche Arten beseitigt werden.
Eine erste Art besteht darin, daß die isolierende Maskenschicht 140, die aus einer Siliziumnitridschicht (Si3N4) besteht, vollständig mit Hilfe eines Feuchtätzverfahrens unter Verwendung einer Phosphorsäurelösung (H3PO4) bei einer hohen Temperatur be­ seitigt wird und dann die isolierende Pufferschicht 130, die aus einer Siliziumoxid­ schicht (SiO2) gebildet ist, mit Hilfe eines Feuchtätzverfahrens unter Verwendung einer Fluorsäurelösung entfernt wird, wie beispielsweise HF oder einer gepufferten HF (BHF).
Der zweite Weg bzw. die zweite Möglichkeit besteht darin, daß die isolierende Maskenschicht 140, die aus einer Siliziumnitridschicht besteht, mit Hilfe eines Trockenätzverfahrens beseitigt wird und die isolierende Pufferschicht 130 mit Hilfe eines Feuchtätzverfahrens beseitigt wird. Es wird dann die obere Oberfläche des Gates 120 zum Halbleitersubstrat 100 hin freigelegt und es wird die isolierende Füllschicht 190 in einer Isolierzone planiert, in welcher der Graben 150 ausgebildet ist, indem eine Stufendifferenz zur oberen Oberfläche des Gates 120 gebildet wird.
Gemäß Fig. 17 wird ein mit Fremdstoffen dotiertes polykristallines Silizium als leitendes Material auf der oberen Oberfläche des Gates 120 niedergeschlagen. Ein Zwi­ schengate 123 wird unter Anwendung von Prozessen zur Ausbildung von Mustern aus­ gebildet, wie beispielsweise einem fotolithographischen Prozeß und einem Trockenätz­ prozeß, die auf das leitende Material zur Einwirkung gebracht werden. Eine dielektri­ sche Schicht 211 wird auf der Oberfläche des Zwischengates 123 als isolierende Schicht ausgebildet. Die dielektrische Schicht 211 hängt von den Eigenschaften der Vorrichtung ab, wird jedoch allgemein als Siliziumoxidschicht oder als Siliziumnitridschicht ausge­ bildet. In einem Fall jedoch, bei dem eine hohe Dielektrizitätskonstante zwischen dem Gate 120 und einem zweiten Gate 210 auf Grund der Eigenschaften einer Flash-Spei­ chervorrichtung gefordert werden, kann eine hochdielektrische Schicht verwendet wer­ den, die aus einem hochdielektrischen Material, wie beispielsweise Ta2O5, PLZT, PZT oder BST bei einem Kondensator in Verbindung mit einem dynamischen Speicher mit wahlfreiem Zugriff (DRAM) angewendet werden.
Gemäß Fig. 18 wird eine zweite Gateleiterschicht 212 auf der dielektrischen Schicht 211 ausgebildet.
Die zweite Gateleiterschicht 212 kann aus polykristallinem Silizium hergestellt werden, welches dadurch ausgebildet wird, indem als Fremdstoff Phosphor (P) oder Arsen (As) eindotiert wird, um dadurch eine Leitfähigkeit zu realisieren. Die zweite Gateleiterschicht 212 kann mit Hilfe des LP-CVD-Verfahrens vermittels einer an Ort und Stelle erfolgenden Fremdstoffdotierung hergestellt werden. In einem Fall, bei dem die zweite Gateleiterschicht 212 einen niedrigen Oberflächenwiderstand besitzen muß, kann die dotierte polykristalline Siliziumschicht nicht ausreichend sein und es kann so­ mit ein Polycid, welches durch Kombinieren eines Metallsilicids mit einem niedrigen Widerstandswert bzw. mit einem niedrigen spezifischen Widerstand hergestellt wird, angewendet werden. Das heißt, das Metallsilicid wird durch eine selbstausrichtende Silicidierung zur Ausbildung von TiSi, MoSi, NiSi oder CoSi gebildet, indem das Me­ tallsilicid thermisch lediglich an einem Gate zur Reaktion gebracht wird, bei dem das Silizium freiliegend ist, indem nämlich Titan (Ti), Molybdän (Mo), Nickel (Ni) oder Kobalt (Co) auf das zweite Gate 210 niedergeschlagen wird, auf welchem bereits Mu­ ster ausgebildet worden sind, und indem eine thermische Behandlung bei einer ge­ wünschten Temperatur durchgeführt wird. Es wird WSi niedergeschlagen und wird mit Hilfe des Metall-CVD-Verfahrens ausgebildet.
Die zweite Gateleiterschicht 212 wird mit einem Fotoresistmaterial (nicht gezeigt) beschichtet und das zweite Gate 210 wird mit Hilfe eines photolithographischen Prozes­ ses und mit Hilfe eines Trockenätzprozesses ausgebildet. Danach wird ein nachfolgen­ der Prozeß zur Ausbildung von Sourcebereichen und Drainbereichen durchgeführt und es werden dann eine Zwischenpegel-Dielektrikumsschicht (ILD) 220, ein Kontakt (nicht gezeigt) und eine Bitleitung (nicht gezeigt) nachfolgend ausgebildet. Die Bitleitung wird durch Verbinden oder Kombinieren von mit Fremdstoff dotiertem polykristallinem Sili­ zium 231 hergestellt, welches eine Leitfähigkeit besitzt, und zwar durch Verbinden oder Kombinieren mit einer Wolframsilicidschicht 232. Eine Halbleitervorrichtung wird über einen Prozeß vervollständigt, bei dem die ILD-Schicht 220 und der Kontakt hergestellt wird, und wird mit Hilfe eines Metallanschlußprozesses vervollständigt, ebenso durch eine Vielzahl von Metallverbindungsprozessen, was von den zufälligen Forderungen abhängig ist.
Die Fig. 19 bis 21 zeigen Querschnittsansichten, die ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß einer anderen beispielhaften Ausführungsform der vorliegenden Erfindung wiedergeben. Das in den Fig. 11 bis 15 gezeigte beispielhafte Verfahren ist ähnlich dem als Beispiel dargestellten Verfahren und es werden die nach­ folgenden Prozesse im folgenden beschrieben.
Gemäß Fig. 19 werden die isolierende Füllschicht 190, die isolierende Masken­ schicht 140 und die isolierende Pufferschicht 130 eventuell bis zur oberen Oberfläche des Gates 120 beseitigt, um die obere Oberfläche des Gates 120 freizulegen. Die isolie­ rende Maskenschicht 140 und die isolierende Pufferschicht 130 können bis zur oberen Oberfläche des Gates 120 auf wenigstens drei unterschiedliche Arten beseitigt werden.
Eine erste Art besteht darin, daß die isolierende Füllschicht 190 durch ein CMP- Verfahren beseitigt wird, wie dies in Fig. 15 gezeigt ist, und die Siliziumnitridschicht und die Siliziumoxidschicht mit der gleichen Polierrate entfernt werden, indem ein Po­ lierschlamm für das CMP-Verfahren variiert wird. Die isolierende Füllschicht 190 und die isolierende Pufferschicht 130 werden bis zur oberen Oberfläche des Gates 120 in einem Prozeß entfernt, wodurch das Gate 120 gleichzeitig freigelegt und planiert wird. Die isolierende Pufferschicht 130, die aus einer Siliziumoxidschicht gebildet ist, wird poliert und wird beseitigt, indem das Gate 120, welches aus polykristallinem Silizium gebildet ist, als Polierstopeinrichtung verwendet wird, um dadurch die obere Oberfläche des Gates 120 freizulegen.
Ein zweiter Weg besteht aus einem Zweistufenprozeß und es wird dabei die isolie­ rende Maskenschicht 140, die aus einer Siliziumnitridschicht gebildet ist, mit Hilfe ei­ nes Feuchtätzverfahrens beseitigt unter Verwendung einer Phosphorsäurelösung (H3PO4). Das Trockenätzen kann unter Verwendung eines Rezeptes bzw. einer Formu­ lierung gemäß einer hohen Selektivität in bezug auf eine Siliziumoxidschicht und eine Siliziumnitridschicht verwendet werden, um dadurch selektiv die Siliziumnitridschicht zu beseitigen. Es wird dann ein unregelmäßiges Siliziumoxidschichtmuster an einer Stelle ausgebildet, an welcher die isolierende Maskenschicht 140 entfernt wurde. In diesem Zustand werden die isolierende Füllschicht 190 und die isolierende Puffer­ schicht 130 eventuell solange poliert, bis die obere Oberfläche des Gates 120 freigelegt ist, was mit Hilfe eines CMP-Verfahrens erfolgt, und zwar unter Verwendung eines Po­ lierschlamms zum Polieren einer Siliziumoxidschicht. Die Gateleiterschicht 122, die aus polykristallinem Silizium gebildet ist, wird als eine Polierstopeinrichtung verwendet. Es ist dann die obere Oberfläche des Gates 120 freigelegt und es wird die isolierende Füll­ schicht 190 bis zur oberen Oberfläche des Gates 120 planiert, und zwar in der Isolier­ zone, in welcher der Graben 150 ausgebildet ist.
Ein dritter Weg besteht darin, daß ein Polierschlamm zum Polieren einer Silizi­ umoxidschicht und einer Siliziumnitridschicht mit der gleichen Polierrate verwendet wird, wenn die isolierende Füllschicht 190, die in Fig. 15 gezeigt ist, mit Hilfe des CMP-Verfahrens poliert wird. Es werden somit, wie in Fig. 7 gezeigt ist, die isolierende Füllschicht 190, die isolierende Maskenschicht 140 und die isolierende Pufferschicht 130 bis zur oberen Oberfläche des Gates 120 in einem Einstufenprozeß poliert.
Gemäß Fig. 20 wird die dielektrische Schicht 211 auf der oberen Oberfläche des Gates 120 als Isolierschicht ausgebildet und es wird die zweite Gateleiterschicht 212 auf der dielektrischen Schicht 211 ausgebildet. Die dielektrische Schicht 211 hängt von den Eigenschaften der Vorrichtungen ab, wird jedoch im allgemeinen als eine Siliziumoxid­ schicht oder als eine Siliziumnitridschicht ausgebildet. In einem Fall jedoch, bei dem eine hohe Dielektrizitätskonstante zwischen dem Gate 120 und dem zweiten Gate 210 erforderlich ist, und zwar auf Grund der Eigenschaften einer Flash-Speichervorrichtung, kann eine hochdielektrische Schicht verwendet werden, die aus einem hochdielektri­ schen Material gebildet wird, wie beispielsweise Ta2O5, PLZT, PZT oder BST, welches bei einem Kondensator eines dynamischen Speichers mit wahlfreiem Zugriff (DRAM) angewendet werden kann.
Die zweite Gateleiterschicht 212 kann aus polykristallinem Silizium gebildet wer­ den, die dadurch hergestellt wird, indem als Fremdstoff Phosphor (P) oder Arsen (As) eindotiert wird, um dadurch eine Leitfähigkeit herzustellen. Die zweite Gateleiterschicht 212 kann mit Hilfe eines LP-CVD-Verfahrens und durch Eindotieren des Fremdstoffes an Ort und Stelle hergestellt werden. In einem Fall, bei dem die zweite Gateleiterschicht 212 einen niedrigen Flächenwiderstand erfordert, kann die dotierte polykristalline Sili­ ziumschicht nicht ausreichend sein und es kann somit ein Polycid, welches durch Kom­ binieren eines Metallsilicids mit niedrigem spezifischem Widerstand hergestellt werden kann, in diesem Fall angewendet werden. Das heißt es wird ein Metallsilicid mit Hilfe einer selbstausrichtenden Silicidierung ausgebildet, um TiSi, MoSi, NiSi oder CoSi her­ zustellen, indem das Metallsilicid thermisch zur Reaktion gebracht wird, und zwar le­ diglich auf einem Gate, welchem die Siliziumquelle ausgesetzt wird, indem Titan (Ti), Molybdän (Mo), Nickel (Ni) oder Kobalt (Co) auf dem zweiten Gate 210 niederge­ schlagen wird, auf welchem bereits die Muster ausgebildet worden sind, und indem eine thermische Behandlung bei einer gewünschten Temperatur durchgeführt wird. Es kann WSi niedergeschlagen werden und mit Hilfe des CVD-Verfahrens ausgebildet werden.
Gemäß Fig. 21 wird ähnlich wie bei Fig. 18 die zweite Gateleiterschicht 212 mit Fotoresist beschichtet (nicht gezeigt) und das zweite Gate 210 wird mit Hilfe eines fo­ tolithographischen Prozesses und mit Hilfe eines Trockenätzprozesses ausgebildet. Da­ nach wird ein nachfolgender Prozeß zur Ausbildung von Sourcebereichen und Drainbe­ reichen durchgeführt und es wird dann die Zwischenebenen-Dielektrikumsschicht (IDL) 220, ein Kontakt (nicht gezeigt) und eine Bitleitung (nicht gezeigt) aufeinander folgend ausgebildet. Die Bitleitung wird durch Verbinden oder Kombinieren eines fremdstoff­ dotierten polykristallinen Siliziums 231 mit einer Leitfähigkeit mit der Wolframsili­ cidschicht 232 hergestellt. Eine Halbleitervorrichtung wird durch einen Prozeß zur Aus­ bildung der ILD-Schicht 220 und der Kontaktherstellung und durch einen Metallverbin­ dungsprozeß, eine Vielzahl von Metallanschlußprozessen vervollständigt, was von den Fallanforderungen abhängt.
Bei dem Verfahren zur Isolierung von individuellen Vorrichtungen bei einer Halbleiterspeichervorrichtung mit der oben erläuterten Struktur gemäß den beispielhaf­ ten Ausführungsformen der vorliegenden Erfindung kann, da eine schnelle thermische Verarbeitung mit einer kurzen Prozeßzeit verwendet wird, wenn die Gateseitenwand­ oxidschicht 125 an der Seitenwand des Gates 120 ausgebildet wird, ein Abstand, bei dem ein Oxidationsgas in eine Zwischenschicht während der Ausbildung einer Oxid­ schicht eindringt, derart reduziert werden, daß eine Vogelschnabelausbildung, die ent­ lang einer Zwischenschicht zwischen der isolierenden Pufferschicht 130 und dem Gate 120 wächst, reduziert wird, und die Gateisolierschicht 121, die zwischen dem Gate 120 und dem Silizium zwischengefügt ist, reduziert werden kann. Die Gateseitenwandoxid­ schicht 125 wird hergestellt und es wird gleichzeitig die isolierende Maskenschicht 140, die als Siliziumnitridschicht ausgebildet ist, oxidiert und es wird somit die Oxidation des polykristallinen Siliziums der Gateleiterschicht 122 einheitlicher durchgeführt und es wird die Morphologie der Gateseitenwandoxidschicht 125 eventuell verbessert bzw. realisiert und es werden somit Defektstellen, die durch eine Brückenbildung mit be­ nachbarten Zellen verursacht werden, reduziert.
Es wurde eine schnelle thermische Behandlung bei einem thermischen Über­ gangsbehandlungsprozeß für eine Tonenaktivierung verwendet. Da jedoch die Tempe­ ratur eines Halbleitersubstrats vergleichsweise während der schnellen thermischen Be­ handlung unstabil ist, ist es schwierig, eine einheitliche Filmschicht mit Hilfe eines schnellen thermischen Prozessors (RTP) herzustellen, und es wurde somit ein schneller thermischer Prozessor nicht für die Ausbildung einer Schicht verwendet. Jedoch wurde kürzlich dank der ausstehenden Entwicklung von RTP, das heißt die Konfiguration von RTP, in einen einzelnen Kammertyp entwickelt und das Halbleitersubstrat wird zum Zwecke der Erzielung einer Einheitlichkeit der Temperatur gedreht, so daß eine einheit­ lichere Temperaturverteilung erreicht werden konnte.
Auf Grund dieser Tatsache konnte ein Verfahren zum Zuführen eines Reaktions­ gases verbessert werden, das heißt es kann das Verfahren bei 17426 00070 552 001000280000000200012000285911731500040 0002010222083 00004 17307einer Halbleitervorrich­ tung angewendet werden, um eine einheitliche Filmschicht herzustellen und es kann die einheitliche Filmschicht mit Hilfe einer schnellen thermischen Oxidation erhalten wer­ den. Das heißt, es werden Wasserstoff (H2) und Sauerstoff (O2) als Oxidationsreaktions­ gas verwendet, derart, daß Wasserstoff (H2) und Sauerstoff (O2) in einen Reaktor strö­ men oder in eine Kammer strömen, und Verdampfungswasser (H2O) erzeugt wird und mit dem Silizium reagiert, um dadurch eine feuchte Oxidschicht zu bilden, wobei die Eigenschaften der Feuchtoxidschicht verbessert werden und ein geringer Unterschied in der Wachstumsrate ungeachtet einem Reaktionselement (Substanz), wie beispielsweise Silizium oder polykristallinem Silizium, auftritt und auch eine geringfügige Differenz zwischen der Dicke des Oxidfilms bzw. der Oxidschicht und der Dicke der Ausklei­ dungsisolierschicht 170 auftritt, die durch Oxidation des Siliziums eines Substrats in einem Graben gebildet wird, oder der Dicke der Gateseitenwandisolierschicht 125, die durch Oxidieren von polykristallinem Silizium gebildet wird, so daß dadurch eine Feuchtoxidschicht in einer im wesentlichen einheitlichen Dicke ausgebildet wird.
Fig. 22 zeigt einen Einheitsprozeßflußplan, der ein Verfahren zur Ausbildung ei­ ner Siliziumoxidschicht an der Seitenwand eines Gates einer Halbleiterspeichervorrich­ tung gemäß einer anderen beispielhaften Ausführungsform der vorliegenden Erfindung veranschaulicht, während Fig. 23 eine schematische Ansicht zeigt, die einen schnellen thermischen Prozessor (RTP) veranschaulicht, der für die Ausbildung einer Siliziu­ moxidschicht verwendet wird, und zwar im Einklang mit einer beispielhaften Ausfüh­ rungsform der vorliegenden Erfindung.
Um auf die Fig. 22 und 23 einzugehen, so wird nach dem Ätzen eines Grabens oder, nachdem ein Gatemuster geätzt worden ist, ein Halbleitersubstrat (100 in Fig. 1), auf welchem wenigstens ein Teil gemäß einem Abschnitt des polykristallinen Siliziums an der Seitenwand eines Gates und ein Teil des Siliziumsubstrats in dem Graben gleich­ zeitig freigelegt werden, geschaffen. Das Halbleitersubstrat (100 in Fig. 1) wird auf ei­ nem Waferhalter 13 in einer Reaktionskammer (10 in Fig. 23) gelegt, es wird ein ge­ wünschter niedriger Druck in der Reaktionskammer 10 mit Hilfe eines Unterdrucksy­ stems (30 in Fig. 23) aufrecht erhalten und es wird ein schneller thermischer Prozeß bei dem Halbleitersubstrat 100 mit Hilfe einer Heizvorrichtung (11 in Fig. 23) durchgeführt, welche Heizvorrichtung aus einer Strahlungslampe gebildet ist, um dadurch die Tempe­ ratur schnell zu erhöhen. Es werden dann ein Wasserstoffquellengas und ein Sauerstoff­ quellengas gleichzeitig in einem gewünschten Verhältnis auf das Halbleitersubstrat 100 über eine Gasversorgungsvorrichtung 20, einen Gaseinlaß 15 und die Reaktionskammer 10 zugeführt. Dann reagieren das Wasserstoffquellengas und das Sauerstoffquellengas in der Nähe des Halbleitersubstrats und es werden Wasserdampf (H2O) und O2-Radikale in solcher Weise erzeugt, daß das Silizium und das polykristalline Silizium, die an dem Halbleitersubstrat 100 freigelegt sind, gleichzeitig feuchtoxidiert und trockenoxidiert werden, um eine Siliziumoxidschicht in einer gewünschten Dicke herzustellen. Das Be­ zugszeichen 16 in Fig. 23 bezeichnet einen Gasauslaß, durch den verbliebene Gase nach der Reaktion ausgeblasen werden.
Bei einer beispielhaften Ausführungsform der vorliegenden Erfindung wird Sau­ erstoff (O2) als Sauerstoffquellengas verwendet und es wird Wasserstoff (H2) als Was­ serstoffquellengas verwendet. Die Oxidationsreaktionsgase werden in einem Strö­ mungsverhältnis zwischen Wasserstoff und Sauerstoff gemäß 1 : 50 und 1 : 5 zugeführt, so daß der Sauerstoff mehr beträgt als der Wasserstoff. Das Wasserstoffgas kann mit einer Geschwindigkeit zwischen 0,1 slm und 2 slm zugeführt werden.
Die Reaktionskammer 10 befindet sich auf einem niedrigen Druck zwischen 0,1 Torr und 700 Torr. Dies ist der Grund oder die Ursache für die Strukturauslegung der Halbleitervorrichtung, damit diese feiner werden kann, und es wird somit ein Oxidfilm in dünner Form ausgebildet und die Wachstumsrate sollte reduziert werden, um die Steuerbarkeit zu verarbeiten, indem die Oxidationsrate reduziert wird.
Da die Eigenschaften der Oxidschicht lediglich dann gut sind, wenn sich die Temperatur auf einer hohen Temperatur befindet und eine Oxidationsreaktion in ausrei­ chender Weise stattfinden kann, wird die Temperatur zwischen 800°C und 1150°C er­ höht. Speziell, um eine gute und saubere Oxidschicht mit einer hohen Dichte herzustel­ len, sollte eine Oxidschicht bei einer Temperatur zwischen 900°C und 1000°C ausgebil­ det werden. Da es ferner viel Zeit beansprucht, damit eine normale Kammer, die eine Widerstandsheizvorrichtung enthält, die Prozeßtemperatur in der Kammer auf eine hohe Temperatur hochschnellen läßt oder ansteigen läßt und da das Halbleitersubstrat für eine lange Zeitdauer einer hohen Temperatur ausgesetzt wird, kann die Temperatur sehr schnell hochgefahren werden oder auch nach unten gefahren werden, indem die schnelle thermische Oxidation verwendet wird, so daß eine unnötige thermische Aussetzungszeit des Halbleitersubstrats reduziert werden kann.
Die Fig. 24A und 24B sind Fotografien, die mit Hilfe eines elektronischen Ab­ tastmikroskops (SEM) aufgenommen wurden, die einen Abschnitt (Fig. 24A) des Gates veranschaulichen, und zwar nach der Ausbildung der Gateseitenwandoxidschicht gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung, und einen Abschnitt (Fig. 24B) eines Gates veranschaulichen, nach der Ausbildung einer Gateseitenwand­ oxidschicht gemäß dem Stand der Technik. Die Fig. 24C und 24D sind Querschnittsan­ sichten, welche die Fig. 24A und 24B veranschaulichen, um einen Unterschied zwi­ schen den Fig. 24A und 24B zu erläutern.
In einem Abschnitt (Fig. 24A) eines Gates gemäß einer beispielhaften Ausfüh­ rungsform der vorliegenden Erfindung ist die Größe der Vogelschnabelspitzen, die an der Zwischenschicht der isolierenden Pufferschicht 130 zwischen dem Gate 120 und der isolierenden Maskenschicht 140 wachsen, wo die Schnabelspitzenerscheinung auftritt, sehr viel kleiner oder geringer als diejenige von Fig. 24B gemäß dem Stand der Tech­ nik.
Gemäß den Fig. 24C und 24D wird beim Stand der Technik eine Eckenkante X in einem als Muster gestalteten Gate 1120, eine Eckenkante, bei der ein Graben 1160 sich mit einer Gateisolierschicht 1121 schneidet, gebildet und formt einen spitzen Winkel. Die Zwischenschicht einer Gateseitenwandoxidschicht 1125, die als ein Rand oder Kante und in einer Ecke gebildet wird, wo sie sich mit einer isolierenden Maskenschicht schneidet, wird auf der Grundlage der Seitenwände des Gates 1120 und des Grabens 1160 (rückläufige Steigung in einem Fall, bei dem eine Zwischentangente gleich 'B' ist, und zwar im Vergleich mit einer Bezugslinie 'A' von Fig. 15D und eine richtige Nei­ gung oder Steigung in einem Fall vorhanden ist, bei dem die Zwischentangente mit 'C' bezeichnet ist im Vergleich mit der Bezugslinie 'A' von Fig. 15D) in einer Richtung 'B' auf der Grundlage der Bezugslinie 'A' gebildet und besitzt eine rückläufige Neigungsge­ stalt und beeinflußt somit in negativer Weise die elektrischen Eigenschaften einer ver­ vollständigten oder komplettierten Halbleitervorrichtung. Das heißt, es konzentriert sich ein elektrisches Feld an einer spitzen Ecke, es kann die Gateisolierschicht 1121 einfach zerbrochen werden, und zwar selbst bei einer niedrigen Betriebsspannung, und somit wird die Zuverlässigkeit der Gateisolierschicht 1121 verschlechtert und die Vogel­ schnabelspitzenerscheinung, die sich an einem Rand oder Kante des Gates 1120 ausbil­ det, verursacht einen Leckstrom, das heißt einen Softausfall. Zusätzlich kehrt sich die Neigung oder Steigung der Seitenwand des Grabens 1160 um und es wird eine spitze Ecke an einem Rand des Grabens 1160 ausgebildet, und zwar nach der Ausbildung einer Auskleidungsisolierschicht 1170 (Siliziumoxidschicht), was dann eine Höckererschei­ nung einer Schwellenwertspannung Vt in der I-V-Kurve verursachen kann, und zwar nach der Ausbildung eines Übergangs, so daß dadurch die Eigenschaften der Vorrich­ tung verschlechtert werden. Jedoch ist die Größe der Vogelschnabelspitze der Gatesei­ tenwandoxidschicht 125 gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung klein und die Ecke der Gateseitenwandoxidschicht 125 ist in solcher Weise abgerundet, daß die rückläufige Steigung oder Neigung der Seitenwand des Gates 120 und des Grabens 160 reduziert wird. Somit werden die elektrischen Eigenschaften nicht verschlechtert.
In bezug auf die Reaktionsfähigkeit können anstelle des Sauerstoffquellengases und des Wasserstoffquellengases, die als ein Reaktionsgas verwendet werden, andere Quellengase für ein Reaktionsgas verwendet werden. Das heißt es kann Deuterium (D2) oder Tritium (T2) ebenfalls verwendet werden, um in richtiger Weise die Reaktionsfä­ higkeit eines Wasserstoffquellengases zu bilden. Da die Masse von Deuterium (D2) oder Tritium (T2) größer ist als diejenige von Wasserstoff (H2), wird ein Gas jedoch nicht einheitlich zu dem Halbleitersubstrat zugeführt und eine Flammenreaktion mit Sauer­ stoff wird nicht in richtiger Weise ausgeführt, obwohl eine kleine Menge des Deuteri­ ums (D2) oder des Tritiums (T2) entsprechend einer geringeren Masse zu dem Halblei­ tersubstrat zugeführt wird, derart, daß Verdampfungswasser (H2O) ebenfalls als eine Substanz für die Feuchtoxidation auftritt.
Es können N2O und NO anstelle von Sauerstoff ebenfalls für ein Sauerstoffquel­ lengas verwendet werden. Wenn Sauerstoff für ein Quellengas verwendet wird, ist die Oxidationsrate bei einer hohen Temperatur hoch und auch bei einer vergleichsweise hohen Temperatur und somit kann die Einheitlichkeit der Oxidschicht nicht garantiert werden. Wenn jedoch N2O und NO für ein Sauerstoffquellengas verwendet werden, wird die Zahl der Sauerstoffatome, die während der Reaktion auftreten, kleiner als die Zahl der Sauerstoffatome, die auftritt, wenn Sauerstoffmoleküle dissoziieren, und es kann somit eine relativ niedrige Wachstumsrate vorweggenommen werden und die Ein­ heitlichkeit der Oxidschicht kann verbessert werden. Es kann die Oxidschicht einheit­ lich ausgebildet werden, und zwar ungeachtet davon, ob es sich um eine Quelle gemäß einem Einkristallsilizium oder polykristallinem Silizium handelt. Es kann somit ein den Polysiliziumrückstand betreffendes Problem gelöst werden, welches sich an der Seiten­ wand einstellt (von dem Gate, wenn Polysilizium niedergeschlagen wird, und in dem Polysilizium die Gatemusterformung vorgenommen wird, und zwar bei einem nachfol­ genden Prozeß).
Wie oben beschrieben wurde, kann das Oxidationsreaktionsgas lediglich Quell­ gase enthalten, die an einer Oxidationsreaktion teilnehmen, es kann jedoch ein Inertgas als ein Trägergas zugeführt werden, um die Reaktionsgase zu verdünnen, und dieses Inertgas kann zusätzlich in dem Oxidationsreaktionsgas enthalten sein. Stickstoff (N2), Argon (Ar), Helium (He) können als Inertgas verwendet werden.
Die oben erläuterten beispielhaften Ausführungsformen der vorliegenden Erfin­ dung können bei einem Flash-Speicher, bei einem elektrisch programmierbaren Nur- Lese-Speicher (EPROM) oder bei einem EEPROM unter Verwendung eines Doppel­ gates ähnlich wie bei dem Flash-Speicher angewendet werden. In einem solchen Fall kann eine Siliziumoxidschicht oder eine Siliziumnitridschicht anstelle einer dielektri­ schen Schicht für die isolierende Schicht 211 verwendet werden, die zwischen dem Gate 120 (schwebendes oder schwimmendes Gate) und dem zweiten Gate 210 (Steuergate) zwischengefügt ist.
Die beispielhaften Ausführungsformen der vorliegenden Erfindung können bei ei­ nem herkömmlichen Halbleiterspeicher mit lediglich einem Gate angewendet werden. Das heißt, wenn die beispielhaften Ausführungsformen der vorliegenden Erfindung, bei den ein Graben und ein Gate gleichzeitig ausgebildet werden, bei einer herkömmlichen Halbleiterspeichervorrichtung angewendet werden, die lediglich ein Gate besitzt, so wird ein Herstellungsprozeß durchgeführt, bis das Gate 120 ausgebildet ist, nachfol­ gende Prozesse werden inklusive einem Prozeß zur Ausbildung eines Source- und Drainübergangs in direkter Weise ohne die Ausbildung des zweiten Gates (220 in Fig. 1) nach der Ausbildung des Gates 120 durchgeführt, und es können die Prozesse abwei­ chend von den herkömmlichen Prozessen durchgeführt werden.
Das Isolierverfahren für eine Halbleitervorrichtung gemäß den beispielhaften Aus­ führungsformen der vorliegenden Erfindung können das Entstehen von Ausbuchtungen oder Auszahnungen reduzieren oder verhindern, und zwar entlang dem Rand eines Gra­ bens, nachdem der Isolationsprozeß vervollständigt worden ist, indem eine Seitenwan­ doxidschicht an der Seitenwand einer isolierenden Maskenschicht ausgebildet wird, auf der ein Grabenmuster ausgebildet ist. Zusätzlich kann das Isolierverfahren für eine Halbleitervorrichtung gemäß den beispielhaften Ausführungsformen der vorliegenden Erfindung die elektrischen Eigenschaften einer Vorrichtung verbessern oder erhöhen, und zwar den Leckstrom betreffend oder auch die Schwellenspannung betreffend, indem nämlich die Beschädigung oder die Spannungen beseitigt bzw. gemindert werden, die an dem Graben dann auftreten, wenn die Seitenwandoxidschicht bei einer hohen Tem­ peratur während der Ausbildung des Grabens ausgebildet wird.
Das Isolationsverfahren für eine Halbleitervorrichtung gemäß den beispielhaften Ausführungsformen der vorliegenden Erfindung kann die Ausbildung von Vogelschna­ belerscheinungen unterdrücken, die an einer Zwischenschicht bzw. Zwischenbereich zwischen den isolierenden Maskenschichten auftreten, die auf dem Gate ausgebildet sind, indem die Gateseitenwandisolierschicht an der Seitenwand des Gates ausgebildet wird, und zwar gleichzeitig mit einem Isoliergrabenmuster unter Verwendung der schnellen oder plötzlichen thermischen Oxidation. Es kann somit die Einheitlichkeit der Verteilung der Schwellenwertspannung einer Speichervorrichtung, die auf Grund der Vogelschnabelspitzen auftritt, verbessert werden und es kann somit die Ausbeute an Halbleiterspeichervorrichtungen ultimativ erhöht werden.
Es können eine Feuchtoxidation und eine Trockenoxidation gleichzeitig an dem Halbleitersubstrat durchgeführt werden, indem gleichzeitig ein Sauerstoffgas und ein Wasserstoffgas als ein Oxidationsgas zugeführt werden und es kann somit die Silizi­ umoxidschicht mit den Eigenschaften einer Feuchtoxidschicht ausgebildet werden, und zwar mit der Wachstumsrate einer Trockenoxidationsschicht oder der Wachstumsrate, die niedriger liegt als bei der Trockenoxidationsschicht.
Zusätzlich kann das Isolationsverfahren für eine Halbleitervorrichtung gemäß den beispielhaften Ausführungsformen der vorliegenden Erfindung die Zahl der Diffusions­ prozesse und die Prozeßzeit reduzieren, indem gleichzeitig die Auskleidungsisolier­ schicht und die Gateseitenwandisolierschicht an der Seitenwand des Grabens ausgebil­ det werden, derart, daß der Prozeßdurchsatz verbessert werden kann und die Produkti­ vität an Halbleiterspeichervorrichtungen verbessert werden kann.
Gleichzeitig kann bei dem Isolationsverfahren für eine Halbleitervorrichtung ge­ mäß den beispielhaften Ausführungsformen der vorliegenden Erfindung eine gleichzei­ tige Oxidation der Siliziumnitridschicht als Isoliermaskenschicht vorgenommen werden, derart, daß das darunter liegende Polysilizium in einheitlicher Form oxidiert wird, wo­ durch Defektstellen reduziert werden, die durch Brückenbildungen zwischen den Halb­ leiterspeicherzellen verursacht werden.
Während die Erfindung speziell anhand von bevorzugten Ausführungsformen dargestellt und beschrieben wurde, sei für Fachleute darauf hingewiesen, daß vielfältige Änderungen in der Form und in den Einzelheiten vorgenommen werden können, ohne jedoch dadurch den Rahmen der Erfindung, wie er durch die anhängenden Ansprüche festgehalten ist, zu verlassen.

Claims (76)

1. Isolierverfahren für eine Halbleitervorrichtung, mit den folgenden Schritten:
  • a) Ausbilden eines Isoliermaskenschichtmusters auf Zonen eines Halbleiter­ substrats;
  • b) Ausbilden eines Grabens bis zu einer gewünschten Tiefe in dem Halb­ leitersubstrat unter Verwendung des Isoliermaskenschichtmusters als Maske;
  • c) Ausbilden einer Oxidschicht auf dem Isoliermaskenschichtmuster und an der Seitenwand des Grabens;
  • d) Ausbilden einer Grabenauskleidungsschicht auf der Oxidschicht;
  • e) Ausbilden einer isolierenden Füllschicht in dem Graben auf dem Halb­ leitersubstrat, auf welchem die Grabenauskleidungsschicht ausgebildet ist, um den Graben auszufüllen; und
  • f) Entfernen des Isoliermaskenschichtmusters.
2. Verfahren nach Anspruch 1, bei dem der Schritt a) folgendes umfaßt:
Ausbilden einer Anschlußoxidschicht auf dem Halbleitersubstrat; und
Ausbilden einer Siliziumnitridmaskenschicht auf der Anschlußoxidschicht.
3. Verfahren nach Anspruch 2, bei dem die Anschlußoxidschicht durch thermische Oxidation des Halbleitersubstrats gebildet wird.
4. Verfahren nach Anspruch 2, bei dem die Siliziumnitridmaskenschicht mit Hilfe eines chemischen Niedrigdruck-Dampfniederschlagsverfahrens (LP CVD) her­ gestellt wird.
5. Verfahren nach Anspruch 1, bei dem der Schritt a) folgendes umfaßt:
Ausbilden einer isolierenden Maskenschicht auf der gesamten Oberfläche des Halbleitersubstrats;
Beschichten der isolierenden Maskenschicht mit Fotoresistmaterial;
Ausbilden des Grabenmusters auf einem Fotoresistmaterial mit Hilfe eines foto­ lithographischen Verfahrens; und
Ausbilden eines Grabenmusters auf der isolierenden Maskenschicht unter Ver­ wendung des Fotoresistgrabenmusters als Maske.
6. Verfahren nach Anspruch 5, ferner mit den folgenden Schritten:
Ausbilden einer Antireflexionsschicht zwischen dem Schritt gemäß der Ausbil­ dung der isolierenden Maskenschicht und dem Schritt gemäß dem Auftragen von Fotoresistmaterial auf die isolierende Maskenschicht.
7. Verfahren nach Anspruch 6, bei dem die Antireflexionsschicht aus einer der Schichten gemäß einer Siliziumnitridschicht und einer Siliziumoxynitridschicht hergestellt wird.
8. Verfahren nach Anspruch 5, bei dem bei dem Schritt gemäß der Ausbildung eines Grabenmusters auf der isolierenden Maskenschicht die isolierende Mas­ kenschicht trocken geätzt wird, um eine Oberfläche des Halbleitersubstrats frei­ zulegen.
9. Verfahren nach Anspruch 5, bei dem der Schritt gemäß der Ausbildung eines Grabenmusters in der isolierenden Maskenschicht das Entfernen des Fotore­ sistmaterials enthält.
10. Verfahren nach Anspruch 1, bei dem der Schritt a) folgendes enthält:
Ausbilden einer Gateisolierschicht, einer Gateleiterschicht und einer isolierenden Maskenschicht in einer Aufeinanderfolge auf dem Halbleitersubstrat, bei dem das Silizium freigelegt wurde; und
Ausbilden der isolierenden Maskenschicht, der Gateleiterschicht und der Gate­ isolierschicht in Form eines Musters, um ein isolierendes Maskenmuster und ein Gate zu bilden.
11. Verfahren nach Anspruch 10, bei dem der Schritt a) ferner das Ausbilden einer isolierenden Pufferschicht zwischen dem Gate und der isolierenden Masken­ schicht umfaßt.
12. Verfahren nach Anspruch 11, bei dem die isolierende Maskenschicht aus einer Siliziumnitridschicht besteht, die durch ein CVD-Verfahren hergestellt wurde, und bei dem die isolierende Pufferschicht aus einer Siliziumoxidschicht besteht.
13. Verfahren nach Anspruch 1, bei dem der Schritt b) das Ausbilden des Grabens durch Trockenätzen umfaßt.
14. Verfahren nach Anspruch 1, bei dem die Tiefe des Grabens in einem Bereich zwischen 0,1 µm und 1 µm liegt.
15. Verfahren nach Anspruch 5, bei dem nach der Ausbildung des Grabens in dem Halbleitersubstrat, das Verfahren noch die folgenden Schritte umfaßt:
Entfernen von jeglichem Fotoresistmaterial, welches bei dem Schritt a) zurück geblieben ist.
16. Verfahren nach Anspruch 1, bei dem zwischen dem Schritt b) und c) das Verfah­ ren die folgenden Schritte aufweist:
Ausbilden einer Oxidschutzschicht auf der Seitenwand oder der Innenwand des Grabens.
17. Verfahren nach Anspruch 16, bei dem die Oxidschutzschicht durch thermische Oxidation ausgebildet wird.
18. Verfahren nach Anspruch 16, ferner mit dem folgenden Schritt:
Ausbilden einer Oxidschicht auf der Oxidschutzschicht durch ein chemisches Dampfniederschlagsverfahren.
19. Verfahren nach Anspruch 1, bei dem bei dem Schritt c) die Oxidschicht durch thermische Oxidation der Oberfläche des isolierenden Maskenschichtmusters ausgebildet wird.
20. Verfahren nach Anspruch 19, bei dem der Schritt gemäß der Ausbildung der Oxidschicht auf der Oberfläche des isolierenden Maskenschichtmusters folgende Schritte umfaßt:
Erhitzen des Halbleitersubstrats, auf welchem das isolierende Maskenschichtmu­ ster ausgebildet ist, auf eine gewünschte Temperatur; und
Ausbilden einer Oxidschicht in einer gewünschten Dicke durch Zuführen eines Oxidationsgases auf die isolierende Maskenschicht.
21. Verfahren nach Anspruch 20, bei dem der Schritt gemäß der Erhitzung des Halbleitersubstrats durch sehr schnelles thermisches Behandeln durchgeführt wird.
22. Verfahren nach Anspruch 20, bei dem der Schritt der Erhitzung des Halbleiter­ substrats bei einer Temperatur zwischen 700°C und 1100°C durchgeführt wird.
23. Verfahren nach Anspruch 20, bei dem der Schritt gemäß der Ausbildung der Oxidschicht bei einem Druck zwischen 1 Torr und 760 Torr durchgeführt wird.
24. Verfahren nach Anspruch 20, bei dem das Oxidationsgas aus einem Mischgas aus Sauerstoff (O2) und Wasserstoff (H2) besteht.
25. Verfahren nach Anspruch 24, bei dem das Volumenverhältnis aus dem Wasser­ stoffgas zu dem gesamten Mischgas bei 1-50% liegt.
26. Verfahren nach Anspruch 25, bei dem das Sauerstoffgas und das Wasserstoffgas in einem Volumenverhältnis zwischen 1 : 50 und 1 : 5 zugeführt wird.
27. Verfahren nach Anspruch 26, bei dem das Wasserstoffgas in einer Strömungs­ rate zwischen 0,1 slm und 2 slm zugeführt wird.
28. Verfahren nach Anspruch 20, bei dem der Schritt gemäß der Ausbildung der Oxidschicht in einer Kr/O2-Plasmaatmosphäre durchgeführt wird.
29. Verfahren nach Anspruch 18, bei dem die Oxidschicht in einer Dicke von 20-­ 300 µm hergestellt wird.
30. Verfahren nach Anspruch 1, bei dem bei der Ausbildung der Grabenausklei­ dungsschicht gemäß dem Schritt d) eine Siliziumnitridschicht ausgebildet wird.
31. Verfahren nach Anspruch 30, bei dem die Siliziumnitridschicht mit Hilfe eines chemischen Niedrigdruck-Dampfniederschlagsverfahrens ausgebildet wird.
32. Verfahren nach Anspruch 1, bei dem bei der Ausbildung der Grabenausklei­ dungsschicht gemäß dem Schritt d) eine Schicht aus Bornitrid (BN) hergestellt wird.
33. Verfahren nach Anspruch 32, bei dem das BN mit Hilfe eines Verfahrens gemäß einem chemischen Niedrigdruck-Dampfniederschlagsverfahren (LP CVD) und einem Atomschichtniederschlagsverfahren (ALD) ausgebildet wird.
34. Verfahren nach Anspruch 1, bei dem die Grabenauskleidungsschicht aus Alumi­ niumoxid (Al2O3) hergestellt wird.
35. Verfahren nach Anspruch 34, bei dem das Aluminiumoxid durch ein Atom­ schichtniederschlagsverfahren (ALD) ausgebildet wird.
36. Verfahren nach Anspruch 1, bei dem der Schritt e) die folgenden Schritte um­ faßt:
Ausbilden einer Isolierfüllschicht in dem Graben, um den Graben vollständig zu füllen;
Hitzebehandeln der isolierenden Füllschicht, um die isolierende Füllschicht zu verdichten; und
Planieren der isolierenden Füllschicht unter Beseitigung der isolierenden Füll­ schicht, die sich auf der Zone niedergeschlagen hat, auf der eine Vorrichtung ausgebildet werden soll, so daß die isolierende Füllschicht lediglich in dem Gra­ ben zurück belassen wird.
37. Verfahren nach Anspruch 36, bei dem die isolierende Füllschicht aus einer Sili­ ziumoxidschicht hergestellt wird.
38. Verfahren nach Anspruch 36, bei dem die isolierende Füllschicht mit Hilfe eines chemischen Dampfniederschlagsverfahrens ausgebildet wird.
39. Verfahren nach Anspruch 38, bei dem die isolierende Füllschicht mit Hilfe eines chemischen Dampfniederschlagsverfahrens unter Verwendung eines Plasmas ausgebildet wird.
40. Verfahren nach Anspruch 36, bei dem der Schritt der Hitzebehandlung der iso­ lierenden Füllschicht bei einer Temperatur zwischen 800°C und 1150°C durch­ geführt wird.
41. Verfahren nach Anspruch 40, bei dem der Schritt der Hitzebehandlung der iso­ lierenden Füllschicht in einer Inertgasatmosphäre durchgeführt wird.
42. Verfahren nach Anspruch 36, bei dem der Schritt gemäß der Planierung der iso­ lierenden Füllschicht gemäß einem chemisch/mechanischen Polierverfahren durchgeführt wird.
43. Verfahren nach Anspruch 42, bei dem der Schritt gemäß der Planierung der iso­ lierenden Füllschicht gemäß einem chemisch/mechanischen Polierverfahren un­ ter Verwendung der isolierenden Maskenschicht als Polierstopeinrichtung durchgeführt wird.
44. Verfahren nach Anspruch 1, bei dem bei dem Schritt f) das isolierende Masken­ schichtmuster durch Feuchtätzen beseitigt wird.
45. Verfahren nach Anspruch 44, bei dem das isolierende Maskenschichtmuster mit Hilfe einer Phosphorsäurelösung (H3PO4) geätzt wird.
46. Isolierverfahren für eine Halbleitervorrichtung, mit den folgenden Schritten:
  • a) Ausbilden einer Gateisolierschicht, einer Gateleiterschicht und einer iso­ lierenden Maskenschicht in einer Aufeinanderfolge auf einem Halbleiter­ substrat, bei dem das Silizium freigelegt wurde;
  • b) in ein Muster bringen der isolierenden Maskenschicht, der Gateleiter­ schicht und der Gateisolierschicht, um ein isolierendes Maskenschicht­ muster und ein Gate zu bilden;
  • c) Ausbilden eine Grabens in dem Silizium des Halbleitersubstrats unter Verwendung der isolierenden Maskenschicht und des Gates als Maske;
  • d) Ausbilden einer Seitenwandisolierschicht in einer gewünschten Dicke auf der Oberfläche des Siliziums des Halbleitersubstrats, welches in dem Graben freigelegt ist, und an der Seitenwand der Gateleiterschicht des Gates unter Anwendung einer sehr schnellen thermischen Behandlung; und
  • e) Ausfüllen des Grabens mit einer isolierenden Füllschicht.
47. Verfahren nach Anspruch 46, bei dem der Schritt a) das Ausbilden einer isolie­ renden Pufferschicht zwischen der Gateleiterschicht und der isolierenden Mas­ kenschicht umfaßt.
48. Verfahren nach Anspruch 47, bei dem die isolierende Maskenschicht aus einer Siliziumnitridschicht besteht, die mit Hilfe eines chemischen Dampfnieder­ schlagsverfahrens (LP CVD) ausgebildet wurde.
49. Verfahren nach Anspruch 47, bei dem die isolierende Pufferschicht aus einer Siliziumoxidschicht besteht.
50. Verfahren nach Anspruch 46, bei dem bei der Ausbildung der Seitenwandisolier­ schicht gemäß dem Schritt d) eine Siliziumoxidschicht ausgebildet wird.
51. Verfahren nach Anspruch 50, bei dem die Siliziumoxidschicht oxidiert wird und bei einer Prozeßtemperatur zwischen 800°C und 1150°C ausgebildet wird.
52. Verfahren nach Anspruch 50, bei dem die Siliziumoxidschicht bei einem niedri­ gen Druck ausgebildet wird.
53. Verfahren nach Anspruch 52, bei dem der Druck zwischen 0,1 Torr und 700 Torr liegt.
54. Verfahren nach Anspruch 50, bei dem Wasserstoffgas (H2) und Sauerstoffgas (O2) gleichzeitig verwendet werden, wenn die Siliziumoxidschicht ausgebildet wird.
55. Verfahren nach Anspruch 54, bei dem das Wasserstoffgas und das Sauerstoffgas in einem Volumenverhältnis zwischen 1 : 50 und 1 : 5 zugeführt werden.
56. Verfahren nach Anspruch 55, bei dem das Wasserstoffgas in einer Strömungs­ rate zwischen 0,1 slm und 2 slm zugeführt wird.
57. Verfahren nach Anspruch 56, ferner mit dem folgenden Schritt:
Ausbilden eines zweiten Gates auf dem Gate nach dem Schritt e).
58. Verfahren nach Anspruch 47, bei dem der Schritt der Ausbildung eines zweiten Gates die folgenden Schritte umfaßt:
Freilegen eines oberen Abschnitts des Gates;
Ausbilden einer dielektrischen Schicht auf der Oberfläche des Gates;
Ausbilden einer zweiten Gateleiterschicht auf der dielektrischen Schicht; und
Ausbilden eines zweiten Gatemusters auf der zweiten Gateleiterschicht.
59. Verfahren nach Anspruch 58, bei dem der Schritt gemäß der Freilegung eines oberen Abschnitts des Gates folgendes umfaßt:
Ausbilden eines leitenden Materials auf dem oberen Abschnitt des Gates; und
Mustergestalten des leitenden Materials, um ein Zwischengate zu bilden.
60. Verfahren nach Anspruch 59, bei dem das leitende Material aus fremdstoffdo­ tiertem Polysilizium besteht.
61. Verfahren nach Anspruch 60, bei dem die dielektrische Schicht aus einer hoch­ dielektrischen Schicht besteht.
62. Verfahren nach Anspruch 61, bei dem die dielektrische Schicht aus einem der Substanzen gebildet ist wie TaO5, PLZT, PZT und BST.
63. Verfahren nach Anspruch 58, bei dem die zweite Gateleiterschicht aus fremd­ stoffdotiertem Polysilizium besteht.
64. Verfahren nach Anspruch 63, bei dem die zweite Gateleiterschicht ferner eine Silicidschicht auf dem dotierten Polysilizium bildet.
65. Verfahren nach Anspruch 64, bei dem die Silicidschicht durch selbstausrich­ tende Silicidierung auf dem Polysilizium ausgebildet wird.
66. Verfahren zur Herstellung einer Siliziumoxidschicht auf einem Halbleitersub­ strat, welches Verfahren die folgenden Schritte umfaßt:
  • a) Herstellen eines Halbleitersubstrats, welches Zonen enthält, auf denen Silizium oder Polysilizium freigelegt ist;
  • b) Halten des Halbleitersubstrats in einer Niedrigdruckatmosphäre;
  • c) plötzliches thermisches Erhitzen des Halbleitersubstrats auf eine ge­ wünschte Prozeßtemperatur; und
  • d) Zuführen eines Reaktionsgases, welches ein Sauerstoffquellengas und ein Wasserstoffquellengas enthält, auf das Halbleitersubstrat, und Ausbilden einer Siliziumoxidschicht auf den Zonen, an denen das Silizium oder Polysilizium freigelegt ist, mit Hilfe einer kombinierten Oxidationsreak­ tion aus Feuchtoxidation und Trockenoxidation.
67. Verfahren nach Anspruch 66, bei dem die freigelegte Zone gemäß dem Schritt a) aus einer solchen besteht wie der Seitenwand eines Gates und der Seitenwand eines Grabens.
68. Verfahren nach Anspruch 66, bei dem bei dem Schritt b) der niedrige Druck zwischen 0,1 Torr und 700 Torr liegt.
69. Verfahren nach Anspruch 66, bei dem bei dem Schritt c) die Prozeßtemperatur zwischen 80°C und 1150°C liegt.
70. Verfahren nach Anspruch 66, bei dem bei dem Schritt d) als Reaktionsgas ein Mischgas aus Sauerstoff (O2) als Sauerstoffquellengas und Wasserstoff (H2) als Wasserstoffquellengas in einem gewünschten Verhältnis verwendet wird.
71. Verfahren nach Anspruch 70, bei dem das Sauerstoffgas und das Wasserstoffgas in einem Volumenverhältnis zwischen 1 : 50 und 1 : 5 zugeführt werden.
72. Verfahren nach Anspruch 71, bei dem das Sauerstoffgas in einer Strömungsrate zwischen 1 slm und 10 slm zugeführt wird.
73. Verfahren nach Anspruch 66, bei dem das Wasserstoffquellengas aus einem Gas gemäß Deuterium (D2) oder Tritium (T2) besteht.
74. Verfahren nach Anspruch 66, bei dem das Sauerstoffquellengas aus einem Gas gemäß N2O und NO besteht.
75. Verfahren nach Anspruch 66, bei dem das Reaktionsgas ferner ein Atmosphä­ reninertgas enthält.
76. Verfahren nach Anspruch 75, bei dem das Atmosphärengas aus einem Gas ge­ mäß Stickstoff (N2), Argon (Ar) und Helium (He) besteht.
DE10222083A 2001-05-18 2002-05-17 Isolationsverfahren für eine Halbleitervorrichtung Expired - Fee Related DE10222083B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2001-0027345A KR100403628B1 (ko) 2001-05-18 2001-05-18 반도체 장치의 소자분리 방법
KR01-27345 2001-05-18
KR01-60554 2001-09-28
KR10-2001-0060554A KR100421049B1 (ko) 2001-09-28 2001-09-28 반도체 메모리 장치의 제조방법

Publications (2)

Publication Number Publication Date
DE10222083A1 true DE10222083A1 (de) 2002-11-28
DE10222083B4 DE10222083B4 (de) 2010-09-23

Family

ID=36816190

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10222083A Expired - Fee Related DE10222083B4 (de) 2001-05-18 2002-05-17 Isolationsverfahren für eine Halbleitervorrichtung

Country Status (4)

Country Link
US (2) US20020197823A1 (de)
JP (1) JP2003045957A (de)
CN (1) CN1267982C (de)
DE (1) DE10222083B4 (de)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017595A (ja) * 2001-06-29 2003-01-17 Toshiba Corp 半導体装置
JP3586268B2 (ja) * 2002-07-09 2004-11-10 株式会社東芝 半導体装置及びその製造方法
DE10234734A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verwendung von Masken aus Metalloxiden zur Bearbeitung von Oberflächen bei der Herstellung von Mikrochips
DE10234952B3 (de) * 2002-07-31 2004-04-01 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur mit einem Graben, insbesondere zur Verwendung bei der Herstellung eines Grabenkondensators
US20040029389A1 (en) * 2002-08-06 2004-02-12 Winbond Electronics Corporation Method of forming shallow trench isolation structure with self-aligned floating gate
KR100468771B1 (ko) * 2002-10-10 2005-01-29 삼성전자주식회사 모스 트랜지스터의 제조방법
US6649489B1 (en) * 2003-02-13 2003-11-18 Taiwan Semiconductor Manufacturing Company Poly etching solution to improve silicon trench for low STI profile
KR100497603B1 (ko) * 2003-03-17 2005-07-01 삼성전자주식회사 트렌치 소자 분리 방법 및 이를 이용한 불휘발성 메모리장치의 제조방법
JP4000087B2 (ja) * 2003-05-07 2007-10-31 株式会社東芝 半導体装置およびその製造方法
KR100543655B1 (ko) * 2003-06-30 2006-01-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4545401B2 (ja) * 2003-07-22 2010-09-15 パナソニック株式会社 半導体装置の製造方法
JP4549039B2 (ja) * 2003-08-08 2010-09-22 新日本無線株式会社 半導体集積回路の製造方法
CN1762043B (zh) * 2003-08-26 2010-05-05 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
KR100499642B1 (ko) * 2003-09-05 2005-07-05 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
JP4540320B2 (ja) * 2003-09-19 2010-09-08 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP2005191512A (ja) * 2003-12-01 2005-07-14 Sharp Corp 半導体装置の製造方法
JP4825402B2 (ja) * 2004-01-14 2011-11-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7282409B2 (en) 2004-06-23 2007-10-16 Micron Technology, Inc. Isolation structure for a memory cell using Al2O3 dielectric
KR100546161B1 (ko) * 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
DE102004042459B3 (de) * 2004-08-31 2006-02-09 Infineon Technologies Ag Verfahren zur Herstellung einer Grabenisolationsstruktur mit hohem Aspektverhältnis
KR100610017B1 (ko) * 2004-11-26 2006-08-08 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
US7022583B1 (en) * 2004-11-26 2006-04-04 Grace Semiconductor Manufacturing Corporation Method of forming a shallow trench isolation device to prevent kick effect
KR20060068848A (ko) * 2004-12-17 2006-06-21 삼성전자주식회사 중수소 가스를 사용하는 반도체 소자의 게이트 산화막형성방법
KR100702769B1 (ko) * 2004-12-28 2007-04-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR20060087875A (ko) * 2005-01-31 2006-08-03 주식회사 하이닉스반도체 스텝게이트를 갖는 반도체소자 및 그의 제조 방법
US7776686B2 (en) * 2005-03-08 2010-08-17 Nec Electronics Corporation Method of fabricating a non-volatile memory element including nitriding and oxidation of an insulating film
KR100590383B1 (ko) * 2005-03-09 2006-06-19 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100607351B1 (ko) * 2005-03-10 2006-07-28 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
KR100596889B1 (ko) * 2005-03-22 2006-07-04 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20060104531A (ko) * 2005-03-30 2006-10-09 삼성에스디아이 주식회사 발광표시장치의 제조방법
US7238990B2 (en) * 2005-04-06 2007-07-03 Freescale Semiconductor, Inc. Interlayer dielectric under stress for an integrated circuit
KR100699843B1 (ko) * 2005-06-09 2007-03-27 삼성전자주식회사 트렌치 분리영역을 갖는 모스 전계효과 트랜지스터 및 그제조방법
JP4756926B2 (ja) * 2005-06-17 2011-08-24 Okiセミコンダクタ株式会社 素子分離構造部の製造方法
US7473615B2 (en) * 2005-08-05 2009-01-06 Micron Technology, Inc. Semiconductor processing methods
JP2007048941A (ja) * 2005-08-10 2007-02-22 Fujitsu Ltd 半導体装置の製造方法
CN100463144C (zh) * 2005-09-20 2009-02-18 力晶半导体股份有限公司 非挥发性存储器及其制造方法
KR100679833B1 (ko) * 2005-10-21 2007-02-06 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
WO2007057795A1 (en) * 2005-11-16 2007-05-24 Nxp B.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
KR100643468B1 (ko) * 2005-12-01 2006-11-10 동부일렉트로닉스 주식회사 절연막 스페이서가 형성된 비휘발성 메모리 소자 및 그제조 방법
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7754611B2 (en) * 2006-02-28 2010-07-13 Macronix International Co., Ltd. Chemical mechanical polishing process
US7767588B2 (en) * 2006-02-28 2010-08-03 Freescale Semiconductor, Inc. Method for forming a deposited oxide layer
US8936995B2 (en) 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
JP4560820B2 (ja) * 2006-06-20 2010-10-13 エルピーダメモリ株式会社 半導体装置の製造方法
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US20080054409A1 (en) * 2006-08-31 2008-03-06 Cheon-Man Shim Fabricating method of semiconductor device
KR100829600B1 (ko) * 2006-10-02 2008-05-14 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US7524777B2 (en) * 2006-12-14 2009-04-28 Texas Instruments Incorporated Method for manufacturing an isolation structure using an energy beam treatment
KR100868654B1 (ko) * 2006-12-27 2008-11-12 동부일렉트로닉스 주식회사 반도체 소자의 트렌치 형성 방법
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US20100193900A1 (en) * 2007-07-13 2010-08-05 National University Corporation Tohoku University Soi substrate and semiconductor device using an soi substrate
KR100913331B1 (ko) * 2007-09-20 2009-08-20 주식회사 동부하이텍 모스 트랜지스터 및 그의 제조 방법
JP2009170781A (ja) * 2008-01-18 2009-07-30 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2009272365A (ja) * 2008-05-01 2009-11-19 Renesas Technology Corp 半導体装置の製造方法
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
KR100950480B1 (ko) * 2008-06-20 2010-03-31 주식회사 하이닉스반도체 스페이스 패터닝 기술을 이용한 반도체 소자의 활성영역형성방법
JP2010027904A (ja) * 2008-07-22 2010-02-04 Elpida Memory Inc 半導体装置の製造方法
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP2010199156A (ja) * 2009-02-23 2010-09-09 Panasonic Corp 半導体装置及びその製造方法
JP2010272675A (ja) * 2009-05-21 2010-12-02 Toshiba Corp 半導体記憶装置
KR101062849B1 (ko) * 2009-10-30 2011-09-07 주식회사 하이닉스반도체 반도체 장치 및 그 제조 방법
US8987818B1 (en) 2009-11-13 2015-03-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US8963241B1 (en) 2009-11-13 2015-02-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with poly field plate extension for depletion assist
US8946851B1 (en) 2009-11-13 2015-02-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US8969958B1 (en) 2009-11-13 2015-03-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with body extension region for poly field plate depletion assist
US20110115019A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Cmos compatible low gate charge lateral mosfet
US20110115018A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Mos power transistor
CN102222636B (zh) * 2010-04-14 2014-03-12 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离的制作方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10672748B1 (en) 2010-06-02 2020-06-02 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional heterogeneous technologies integration
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
US8605481B2 (en) * 2010-09-30 2013-12-10 GlobalFoundries, Inc. Crossbar array memory elements and related read methods
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP2011146733A (ja) * 2011-03-18 2011-07-28 Renesas Electronics Corp 半導体装置の製造方法
CN102842595B (zh) * 2011-06-20 2015-12-02 中国科学院微电子研究所 半导体器件及其制造方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8749000B2 (en) * 2012-02-15 2014-06-10 Robert Bosch Gmbh Pressure sensor with doped electrode
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN102931128B (zh) * 2012-11-28 2015-01-07 上海华力微电子有限公司 浅沟槽隔离之边角圆化的方法
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9070742B2 (en) * 2013-01-18 2015-06-30 GlobalFoundries, Inc. FinFet integrated circuits with uniform fin height and methods for fabricating the same
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US8962430B2 (en) * 2013-05-31 2015-02-24 Stmicroelectronics, Inc. Method for the formation of a protective dual liner for a shallow trench isolation structure
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN103456616A (zh) * 2013-09-02 2013-12-18 上海华力微电子有限公司 制备栅氧层的工艺
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160172200A1 (en) * 2014-12-15 2016-06-16 United Microelectronics Corp. Method for fabricating non-volatile memory device
US9202701B1 (en) * 2014-12-17 2015-12-01 United Microelectronics Corp. Method for manufacturing silicon—oxide—nitride—oxide—silicon (SONOS) non-volatile memory cell
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107154354B (zh) * 2016-03-03 2020-12-11 上海新昇半导体科技有限公司 晶圆热处理的方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9698043B1 (en) * 2016-05-20 2017-07-04 International Business Machines Corporation Shallow trench isolation for semiconductor devices
US11239258B2 (en) 2016-07-19 2022-02-01 Applied Materials, Inc. High-k dielectric materials comprising zirconium oxide utilized in display devices
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018181911A (ja) * 2017-04-04 2018-11-15 浜松ホトニクス株式会社 光半導体装置
CN107275339B (zh) * 2017-04-20 2020-06-12 惠科股份有限公司 主动开关阵列基板及制造方法与应用的显示面板
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107706181A (zh) * 2017-10-27 2018-02-16 睿力集成电路有限公司 高深宽比结构、电容器结构、半导体存储器件及制备方法
CN109727906B (zh) * 2017-10-31 2021-01-05 无锡华润微电子有限公司 N型半导体元器件的浅槽隔离结构的处理方法
KR102392058B1 (ko) * 2017-11-06 2022-04-28 삼성전자주식회사 집적회로 소자의 제조 방법
CN108231537A (zh) * 2017-12-05 2018-06-29 中国电子科技集团公司第五十五研究所 改善多晶硅侧壁粗糙度的制备方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP2018106173A (ja) * 2018-01-10 2018-07-05 東京エレクトロン株式会社 反射防止機能を有する部材の製造方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110211875B (zh) * 2019-06-06 2021-11-02 武汉新芯集成电路制造有限公司 一种半导体器件的制造方法
JP7278184B2 (ja) * 2019-09-13 2023-05-19 キオクシア株式会社 半導体装置の製造方法
US11264474B1 (en) * 2020-08-18 2022-03-01 Nanya Technology Corporation Semiconductor device with boron nitride layer and method for fabricating the same
CN113013034B (zh) * 2021-02-07 2023-08-15 西安微电子技术研究所 一种沟槽肖特基二极管及其制作方法
US20220293612A1 (en) * 2021-03-15 2022-09-15 Micron Technology, Inc. Semiconductor device having sti region
JP7393376B2 (ja) * 2021-03-19 2023-12-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244843A (en) * 1991-12-17 1993-09-14 Intel Corporation Process for forming a thin oxide layer
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5478765A (en) * 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
FR2725453B1 (fr) * 1994-10-05 1996-11-08 Atochem North America Elf Compositions renforcantes comprenant une silice precipitee pour polymeres thermoplastiques ayant des proprietes d'anti-mottage et d'ecoulement ameliorees
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5891809A (en) * 1995-09-29 1999-04-06 Intel Corporation Manufacturable dielectric formed using multiple oxidation and anneal steps
US5756390A (en) * 1996-02-27 1998-05-26 Micron Technology, Inc. Modified LOCOS process for sub-half-micron technology
US5780346A (en) * 1996-12-31 1998-07-14 Intel Corporation N2 O nitrided-oxide trench sidewalls and method of making isolation structure
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
TWI278933B (en) * 1997-03-05 2007-04-11 Hitachi Ltd Method of making semiconductor IC device
US5851892A (en) * 1997-05-07 1998-12-22 Cypress Semiconductor Corp. Fabrication sequence employing an oxide formed with minimized inducted charge and/or maximized breakdown voltage
US6207591B1 (en) * 1997-11-14 2001-03-27 Kabushiki Kaisha Toshiba Method and equipment for manufacturing semiconductor device
KR100252866B1 (ko) * 1997-12-13 2000-04-15 김영환 반도체소자 및 이의 제조방법
KR100286736B1 (ko) * 1998-06-16 2001-04-16 윤종용 트렌치 격리 형성 방법
KR100289738B1 (ko) * 1998-07-07 2001-07-12 윤종용 반도체집적회로의트렌치소자분리방법
JP2000031264A (ja) * 1998-07-08 2000-01-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6261908B1 (en) * 1998-07-27 2001-07-17 Advanced Micro Devices, Inc. Buried local interconnect
US6387777B1 (en) * 1998-09-02 2002-05-14 Kelly T. Hurley Variable temperature LOCOS process
KR100292616B1 (ko) * 1998-10-09 2001-07-12 윤종용 트렌치격리의제조방법
US6103581A (en) * 1998-11-27 2000-08-15 Taiwan Semiconductor Manufacturing Company Method for producing shallow trench isolation structure
KR100322531B1 (ko) * 1999-01-11 2002-03-18 윤종용 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
US6180492B1 (en) * 1999-01-25 2001-01-30 United Microelectronics Corp. Method of forming a liner for shallow trench isolation
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
US6358796B1 (en) * 1999-04-15 2002-03-19 Taiwan Semiconductor Manufacturing Company Method to fabricate a non-smiling effect structure in split-gate flash with self-aligned isolation
US6255194B1 (en) * 1999-06-03 2001-07-03 Samsung Electronics Co., Ltd. Trench isolation method
KR100363699B1 (ko) * 1999-12-31 2002-12-05 주식회사 하이닉스반도체 반도체장치의 제조방법
US6358867B1 (en) * 2000-06-16 2002-03-19 Infineon Technologies Ag Orientation independent oxidation of silicon
KR20020017827A (ko) * 2000-08-31 2002-03-07 박종섭 반도체 소자의 트렌치 소자분리막 형성방법
US6620681B1 (en) * 2000-09-08 2003-09-16 Samsung Electronics Co., Ltd. Semiconductor device having desired gate profile and method of making the same
JP3484410B2 (ja) * 2000-12-14 2004-01-06 沖電気工業株式会社 半導体装置における素子分離領域の形成方法
US6355539B1 (en) * 2001-05-07 2002-03-12 Macronix International Co., Ltd. Method for forming shallow trench isolation

Also Published As

Publication number Publication date
US20020197823A1 (en) 2002-12-26
CN1387248A (zh) 2002-12-25
US20060183296A1 (en) 2006-08-17
CN1267982C (zh) 2006-08-02
DE10222083B4 (de) 2010-09-23
JP2003045957A (ja) 2003-02-14

Similar Documents

Publication Publication Date Title
DE10222083A1 (de) Isolationsverfahren für eine Halbleitervorrichtung
DE60312467T2 (de) Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere
DE10051600C2 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Grabenisolationsbereichen und Halbleitervorrichtung mit einer Elementisolationsstruktur
DE69534870T2 (de) Verfahren zur Herstellung eines flachen Grabens zur Isolierung von zwei nebeneinanderliegenden tiefen Gräben unter Verwendung eines Silizidierungsprozesses
DE102005030065B4 (de) Festphasenepitaxie verwendendes Halbleiterbauelement und Verfahren zur Herstellung desselben
DE10030308B4 (de) Verfahren zur Herstellung eines Kontaktstifts und eines Halbleiterbauelementes
DE19711482C2 (de) Verfahren zur Herstellung eines vertikalen MOS-Transistors
DE102007052050B4 (de) Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
DE10014315B4 (de) Verfahren zum Herstellen eines Halbleiterspeichers
DE10230088A1 (de) Herstellungsverfahren für eine Halbleitervorrichtung und zum Ausbilden einer dielektrischen Zwischenschicht unter Verwendung eines hoch-dichten Plasmas
DE10051583A1 (de) Verfahren zum Herstellen von Halbleitervorrichtungen
DE19719699A1 (de) Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang
EP0971414A1 (de) Grabenkondensator mit Isolationskragen und vergrabenen Kontakt und entsprechendes Herstellungsverfahren
DE102011090163A1 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind
DE102005026565A1 (de) Mulden-Gate und Verfahren zur Herstellung eines Halbleiterbauelements mit demselben
DE10347462A1 (de) Bodenelektrode eines Kondensators einer Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE10360537A1 (de) Tiefe Isolationsgräben
DE10120053A1 (de) Stressreduziertes Schichtsystem
DE19947053C1 (de) Grabenkondensator zu Ladungsspeicherung und Verfahren zu seiner Herstellung
DE69835184T2 (de) DRAM-Zelle mit Graben-Kondensator und vertikalem Transistor
DE10256978B4 (de) Verfahren zum Herstellen einer Flashspeicherzelle
WO2003098694A1 (de) Schichtanordnung sowie speicheranordnung
DE102004052577A1 (de) Technik zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
DE10145173C2 (de) Verfahren zur Bildung von Kontakten bei der Herstellung einer integrierten DRAM-Schaltung
DE102005022574A1 (de) Halbleiterspeicherbauelement mit Isolationsgrabenstruktur und zugehöriges Herstellungsverfahren

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8339 Ceased/non-payment of the annual fee