DE102011090163A1 - Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind - Google Patents

Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind Download PDF

Info

Publication number
DE102011090163A1
DE102011090163A1 DE102011090163A DE102011090163A DE102011090163A1 DE 102011090163 A1 DE102011090163 A1 DE 102011090163A1 DE 102011090163 A DE102011090163 A DE 102011090163A DE 102011090163 A DE102011090163 A DE 102011090163A DE 102011090163 A1 DE102011090163 A1 DE 102011090163A1
Authority
DE
Germany
Prior art keywords
gate electrode
contact
forming
metal
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102011090163A
Other languages
English (en)
Other versions
DE102011090163B4 (de
Inventor
Peter Baars
Richard Carter
Rolf Stephan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102011090163A1 publication Critical patent/DE102011090163A1/de
Application granted granted Critical
Publication of DE102011090163B4 publication Critical patent/DE102011090163B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Bei der Herstellung selbstjustierter Kontaktelemente in komplexen Halbleiterbauelementen, in denen Metallgateelektrodenstrukturen mit großem ε auf der Grundlage eines Austauschgateverfahrens vorzusehen sind, werden die selbstjustierten Kontaktöffnungen mit einem geeigneten Füllmaterial, etwa Polysilizium, gefüllt, während die Gateelektrodenstrukturen auf der Grundlage eines Platzhaltermaterials bereitgestellt werden, das mit hoher Selektivität in Bezug auf das Opferfüllmaterial entfernt werden kann. Auf diese Weise können die Metallgateelektrodenstrukturen mit großem ε fertiggestellt werden, bevor die Kontaktöffnungen tatsächlich mit einem geeigneten Kontaktmaterial nach dem Entfernen des Opferfüllmaterials gefüllt werden. In einer anschaulichen Ausführungsform wird das Platzhaltermaterial der Gateelektrodenstrukturen in Form eines Silizium/Germaniummaterials bereitgestellt.

Description

  • Gebiet der vorliegenden Erfindung
  • Die vorliegende Erfindung betrifft generell die Herstellung integrierter Schaltungen und betrifft insbesondere die Kontaktebene eines Halbleiterbauelements, in welcher Kontaktbereiche, etwa Drain- und Sourcegebiete sowie Gateelektrodenstrukturen, mit dem Metallisierungssystem des Halbleiterbauelements verbunden sind.
  • Beschreibung des Stands der Technik
  • In modernen integrierten Schaltungen, etwa Mikroprozessoren, Speicherbauelementen und dergleichen wird eine sehr große Anzahl an Schaltungselemente, insbesondere Transistoren, auf einem beschränkten Chipbereich vorgesehen und betrieben. Obwohl gewaltige Fortschritte über die letzten Jahrzehnte hinweg im Hinblick auf ein erhöhtes Leistungsvermögen und geringere Strukturgrößen der Schaltungselemente erreicht wurden, zwingen die stetigen Forderungen nach einer bessere Funktionsfähigkeit elektronischer Bauelemente die Halbleiterhersteller dazu, die Abmessungen der Schaltungselemente weiter zu verringern und deren Arbeitsgeschwindigkeit zu erhöhen. Die voranschreitende Skalierung von Strukturgrößen ist jedoch mit großen Anstrengungen im Hinblick auf das Neugestalten von Prozesstechniken und das Entwickeln von Prozessstrategien und neuen Prozessanlagen verknüpft, um mit den neuen Entwurfsregeln vereinbart zu sein. Generell ist in komplexen Schaltungen, die komplexe Logikbereiche aufweisen, die MOS-Technik eine bevorzugte Herstellungstechnik im Hinblick auf das Bauteilverhalten und/oder die Leistungsaufnahme und/oder die Kosteneffizienz. In integrierten Schaltungen mit Logikbereichen, die durch die MOS-Technik hergestellt sind, werden Feldeffekttransistoren (FET) bereitgestellt, die typischerweise in einem geschalteten Modus betrieben werden, d. h., diese Bauelemente weisen einen gut leitenden Zustand (Ein-Zustand) und einen hochohmigen Zustand (Aus-Zustand) auf. Der Zustand des Feldeffektrtransistors wird durch eine Gatelektrode gesteuert, die beim Anlegen einer geeigneten Steuerspannung die Leitfähigkeit eines Kanalgebiets steuert, das zwischen einem Draingebiet und einem Sourcegebiet ausgebildet ist.
  • Auf der Grundlage der Feldeffekttransistoren werden komplexere Schaltungskomponenten aufgebaut, etwa Inverter und dergleichen, wodurch komplexe Logikschaltungen, eingebettete Speicher und dergleichen erzeugt werden. Auf Grund der geringeren Abmessungen wurde die Arbeitsgeschwindigkeit der Schaltungskomponenten bei jeder neuen Schaltungsgeneration erhöht, wobei jedoch der begrenzende Faktor der schließlich erreichten Arbeitsgeschwindigkeit komplexer integrierter Schaltungen nicht mehr das einzelne Transistorelement ist, sondern das elektrische Leistungsverhalten des komplexen Verdrahtungssystems, das über der Bauteilebene ausgebildet ist, die die eigentlichen Halbleiter basierten Schaltungselemente, etwa die Transistoren und dergleichen enthält. Typischerweise können auf Grund der großen Anzahl an Schaltungselementen und dem erforderlichen komplexen geometrischen Aufbau moderner integrierter Schaltungen die elektrischen Verbindungen der einzelnen Schaltungselemente nicht in der gleichen Bauteilebene hergestellt werden, in der die Schaltungselemente aufgebaut sind, sondern es sind eine oder mehrere zusätzliche Metallisierungsschichten erforderlich, die generell metallenthaltende Leitungen, die für die elektrische Verbindung innerhalb der Ebene sorgen, und auch mehrere Zwischenebenenverbindungen oder vertikale Verbindungen aufweisen, die auch als Kontaktdurchführungen bezeichnet werden. Diese vertikalen Verbindungsstrukturen enthalten ein geeignetes Metall und sorgen für die elektrische Verbindung der diversen gestapelten Metallisierungsschichten.
  • Um die in dem Halbleitermaterial hergestellten Schaltungselemente tatsächlich mit den Metallisierungsschichten zu verbinden, wird eine geeignete vertikale Kontaktstruktur vorgesehen, die mit einem Ende mit einem entsprechenden Kontaktgebiet eines Schaltungselements, etwa einer Gateelektrode und/oder den Drain- und Sourcegebieten von Transistoren, verbunden ist, und die mit einem weiteren Ende mit einer entsprechenden Metallleitung in der Metallisierungsschicht und/oder mit einem Kontaktgebiet eines weiteren halbleiterbasierten Schaltungselements verbunden ist, in welchem Falle die Verbindungsstruktur in der Kontaktebene auch als lokale Verbindung bezeichnet wird. Die Kontaktstruktur umfasst Kontaktelemente oder Kontaktpfropfen mit einer im Allgemeinen quadratischen oder rundlichen Form, die in einem dielektrischen Zwischenschichtmaterial ausgebildet sind, das wiederum die Schaltungselemente umschließt und passiviert. Bei einer weiteren Verringerung der kritischen Abmessungen der Schaltungselemente in der Bauteilebene müssen auch die Abmessungen von Metallleitungen, Kontaktdurchführungen und Kontaktelementen an die kleineren Abmessungen angepasst werden, wodurch aufwendige metallenthaltende Materialien und dielektrische Materialien erforderlich sind, um die parasitäre Kapazität in den Metallisierungsschichten zu verringern und um für eine ausreichende Leitfähigkeit der einzelnen Metallleitungen und Kontaktdurchführungen zu sorgen. Beispielsweise wird in komplexen Metallisierungssystemen Kupfer in Verbindung mit dielektrischen Materialien mit kleinem ε, die als dielektrische Materialien mit einer Dielektrizitätskonstante von ungefähr 3,0 oder kleiner zu verstehen sind, typischerweise verwendet, um das erforderliche elektrische Leistungsverhalten und das Elektromigrationsverhalten zu erreichen, wie es im Hinblick auf die Zuverlässigkeit der integrierten Schaltungen erforderlich ist. Daher müssen in tieferliegenden Metallisierungsebenen Metallleitungen und Kontaktdurchführungen mit kritischen Abmessungen von ungefähr 100 nm und deutlich weniger bereitgestellt werden, um die erforderliche „Packungsdichte” entsprechend der Dichte an Schaltungselementen in der Bauteilebene zu erreichen.
  • Bei einer weiteren Verringerung der Abmessungen der Schaltungselemente, beispielsweise unter Anwendung von kritischen Abmessungen von 50 nm und weniger, müssen die Kontaktelemente in der Kontaktebene mit kritischen Abmessungen in der gleichen Größenordnung bereitgestellt werden. Die Kontaktelemente sind typischerweise Pfropfen, die aus einem geeigneten Metall oder einer Metallzusammensetzung aufgebaut sind, wobei in komplexen Halbleiterbauelementen Wolfram in Verbindung mit geeigneten Barrierenmaterialien sich als ein geeignetes Kontaktmetall erwiesen hat. Wenn Kontaktelemente auf Wolframbasis hergestellt werden, wird typischerweise zunächst das dielektrische Zwischenschichtmaterial hergestellt und strukturiert, so dass es Kontaktöffnungen erhält, die sich durch das dielektrische Zwischenschichtmaterial zu den jeweiligen Kontaktbereichen der Schaltungselemente erstrecken. Insbesondere in dicht gepackten Bauteilgebieten ist die laterale Größe der Drain- und Sourcebereiche und somit der verfügbare Bereich für die Kontaktgebiete 100 nm und deutlich kleiner, wodurch äußerst komplexe Lithographie- und Ätztechniken erforderlich sind, um die Kontaktöffnungen mit gut definierten lateralen Abmessungen und mit einem hohen Grad an Justiergenauigkeit herzustellen.
  • Aus diesem Grunde wurden neue Kontakttechniken entwickelt, in denen Kontaktöffnungen in einer selbstjustierten Weise durch Entfernen von dielektrischem Material, etwa Siliziumdioxid, selektiv von Raumbereichen zwischen den dichtliegenden Gatelektrodenstrukturen hergestellt werden. D. h., nach Fertigstellung der Transistorstruktur werden die Gateelektrodenstrukturen als Ätzmasken zum selektiven Entfernen des Siliziumdioxidmaterials verwendet, um damit die Kontaktgebiete der Transistoren freizulegen, wodurch selbstjustierte Gräben geschaffen werden, die im Wesentlichen lateral durch die Abstandshalterstrukturen der Gatelektrodenstrukturen begrenzt sind. Daher muss ein entsprechender Lithographieprozess lediglich eine globale Kontaktöffnung über einem Gebiet festlegen, wobei die Kontaktgräben sich dann aus dem selektiven Ätzprozess ergeben, d. h. in Bereichen, die durch die globale Kontaktöffnung freigelegt sind, wobei die Gateelektrodenstrukturen als eine Ätzmaske verwendet werden. Daraufhin wird ein geeignetes Kontaktmaterial, etwa Wolfram und dergleichen, in die Kontaktgräben eingefüllt.
  • Ferner ist generell eine Verringerung der Länge der Kanalgebiete mit dem Erfordernis einer Zunahme der kapazitiven Kopplung zwischen der Gateelektrode und dem Kanalgebiet verknüpft, insbesondere in Hochleistungstransistoren, und aus diesem Grunde wird typischerweise das Gatedielektrikumsmaterial, das das Kanalgebiet von einem Elektrodenmaterial der Gatelektrodenstrukturen trennt, in seiner Dicke reduziert. In der Vergangenheit wurden siliziumdioxidbasierte Gatedielektrikumsmaterialien auf Grund der günstigen Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche in Bezug auf Hochtemperaturbehandlungen und dergleichen verwendet, wobei jedoch bei einem stetigen Verringern der kritischen Abmessungen der Transistoren die moderat kleine Dielektrizitätskonstante der siliziumdioxidbasierten dielektrischen Materialien eine Dicke von 2 nm und weniger für eine entsprechende Gateisolationsschicht erforderlich macht, um den Leistungsanforderungen von Transistoren Rechnung zu tragen, die eine Gatelänge deutlich unter 80 nm aufweisen. In diesem Falle sind jedoch die resultierenden Leckströme, die durch den Einfang energiereicher Ladungsträger und durch das direkte Tunneln von Ladungsträger durch das extrem dünne siliziumdioxidbasierte Gatedielektrikum hervorgerufen werden, nicht mehr mit den thermischen Entwurfsleistungsanforderungen verträglich. Daher wurden neue Strategien entwickelt, in denen zumindest ein wesentlicher Teil des konventionellen Gatedielektrikumsmaterials durch ein dielektrisches Material mit einer erhöhten Dielektrizitätskonstante ersetzt wird, wobei derartige Materialien typischerweise als dielektrische Materialien mit großem ε mit einer Dielektrizitätskonstante von 10,0 oder höher bezeichnet werden. Beispielsweise können viele Metalloxide und Silikate, etwa Hafniumoxid, Zirkonoxid und dergleichen, effizient als dielektrische Materialien mit großem ε eingesetzt werden. Es zeigt sich jedoch, dass der Einbau des dielektrischen Materials mit großem ε ebenfalls geeignet angepasste Strategien zum Einstellen der Austrittsarbeitswerte der Gateelektrodenstrukturen erfordert, was wiederum den Einbau spezieller Austrittsarbeitsmetallsorten, etwa Titan, Tantal, Aluminium, Lanthan, und dergleichen notwendig macht, die auch als effiziente Elektrodenmetalle verwendet werden können, um damit die elektrische Leitfähigkeit zu erhöhen und das Erzeugen von Verarmungszonen in der Nähe des Gatedielektrikumsmaterials zu verringern, wie sie typischerweise in konventionellen Gateelektrodenstrukturen auf der Grundlage von Siliziumdioxid/Polysilizium angetroffen werden. Da die dielektrischen Materialien mit großem ε und die Austrittsarbeitsmetallsorten äußerst empfindlich im Hinblick auf Hochtemperaturbehandlungen und auf die Einwirkung kritischer Prozessatmosphären sind, wie sie typischerweise während des gesamten Fertigungsprozesses angetroffen werden, werden in sehr vielversprechenden Vorgehensweisen diese Materialien in einer sehr späten Fertigungsphase auf der Grundlage eines sogenannten Austauschgateverfahrens bereitgestellt.
  • In komplexen Prozessstrategien wird das Austauschgateverfahren typischerweise mit einer selbstjustierten Herstellung der Kontaktelemente kombiniert, wie sie zuvor erläutert ist, wobei die Kontaktelemente vor dem Anwenden des Austauschgateverfahrens hergestellt werden, um eine vollständig eingekapselte Austauschgateelektrodenstruktur für die Anwendung einer selektiven Ätzstrategie bereitzustellen, wie dies zuvor beschrieben ist. In diesem Falle kann jedoch die weitere Bearbeitung, d. h. das Ausführen des Austauschgateverfahrens, wesentlich durch die Anwesenheit des Metalls in den Kontaktelementen beeinflusst sein, wie dies nachfolgend mit Bezug zu 1 detaillierter erläutert ist.
  • 1 zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer sehr fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst das Bauelement 100 ein Substrat 101, etwa ein Siliziumsubstrat, oder ein anderes geeignetes Trägermaterial, über welchem eine Halbleiterschicht 102, etwa eine Siliziumschicht und dergleichen, hergestellt ist. Die Halbleiterschicht 102 ist lateral in eine Vielzahl von Halbleitergebieten oder aktiven Gebieten unterteilt, wobei der Einfachheit halber ein einzelnes aktives Gebiet 102a in 1 gezeigt ist. Generell ist ein aktives Gebiet als ein Halbleitergebiet in der Schicht 102 zu verstehen, in und über welchem ein oder mehrere Transistoren herzustellen sind. Das aktive Gebiet 102a ist lateral durch ein Isolationsgebiet 102c begrenzt, das typischerweise in Form einer flachen Grabenisolation (STI) bereitgestellt wird. In der gezeigten Fertigungsphase sind ferner mehrere Transistoren 150, etwa p-Kanaltransistoren oder n-Kanaltranistoren in und über dem aktiven Gebiet 102a hergestellt und diese weisen entsprechende Drain- und Sourcegebiete 151 auf, die ein geeignetes laterales und vertikales Profil in Übereinstimmung mit den gesamten Prozess- und Bauteilerfordernissen aufweisen. Beispielsweise sind die Drain- und Sourcegebiete 151 aus Dotierstoffsorten aufgebaut, die durch Implantationstechniken, selektive epitaktische Aufwachstechniken und dergleichen eingebaut werden. Ferner ist ein einigen Fällen eine verformungsinduzierende Halbleiterlegierung, etwa ein Silizium/Germaniummaterial, ein Silizium/Zinnmaterial, ein Silizium/Kohlenstoffmaterial und dergleichen, zumindest in einem Teil der Drain- und Sourcegebiete 151 eingebaut, um eine gewünschte Art an Verformung in einem Kanalgebiet 153 der Transistoren 150 hervorzurufen. Ferner umfasst jeder der Transistoren 150 eine Gateelektrodenstruktur 160, die in der gezeigten Fertigungsphase als eine „Austauschgateelektrodenstruktur” zu verstehen ist, da wesentliche Bereiche davon durch geeignete Gatematerialien in einer späteren Fertigungsphase zu ersetzen sind. Die Gateelektrodenstrukturen enthalten ein dielektrisches Material oder Ätzstoppmaterial 162, etwa Siliziumdioxid und dergleichen, woran sich ein Platzhaltermaterial 161 anschließt, das typischerweise in Form eines Polysiliziummaterials vorgesehen wird, das wiederum von einer dielektrischen Deckschicht oder einem Schichtsystem 164 abgedeckt ist, das beispielsweise in Form eines Siliziumnitridmaterials vorgesehen wird. Ferner ist eine Seitenwandabstandshalterstruktur 163, die aus mehreren Abstandshalterelementen und dergleichen aufgebaut sein kann, so vorgesehen, dass das Material 161 an dessen Seitenwänden eingeschlossen ist. Beispielsweise enthält die Abstandshalterstruktur 163 typischerweise mindestens ein Abstandshalterelement, das aus Siliziumnitrid hergestellt ist. Die Gatelektrodenstrukturen 160 besitzen eine Gatelänge, d. h. in 1 die horizontale Erstreckung des Platzhaltermaterials 161, von 50 nm und deutlich weniger, etwa 30 nm, so dass auch ein Abstand als 122a angegeben ist, zwischen benachbarten Gatelektrodenstrukturen 160 in der Größenordnung von 100 nm oder deutlich weniger liegt. Das Einrichten einer selbstjustierten Fertigungsstrategie zur Herstellung von Kontaktelementen zur Anbindung an Kontaktgebiete der Transistoren 150, d. h. zur Anbindung eines Bereichs der Drain- und Sourcegebiete 151 kann somit zu einer deutlichen Verbesserung im Hinblick auf die Prozessrobustheit und Zuverlässigkeit er resultierenden Kontaktelemente beitragen, wie dies zuvor erläutert ist.
  • Ferner ist in dieser Fertigungsphase ein dielektrisches Zwischenschichtmaterial 121, etwa ein Siliziumdioxidmaterial, über der Halbleiterschicht 102 vorgesehen, in welchem eine geeignete „globale” Kontaktöffnung 121a ausgebildet ist, die somit die laterale Größe und die Position über dem aktiven Gebiet 102a festlegt, in welchem Kontaktgebiete der Drain- und Sourcegebiete 151 freigelegt sind.
  • Das in 1 gezeigte Halbleiterbauelement 100 kann auf der Grundlage einer beliebigen geeigneten Prozessstrategie hergestellt werden. Beispielsweise wird das Isolationsgebiet 102c in der Halbleiterschicht 102 durch Anwenden gut etablierter Lithographie-, Ätz-, Abscheide-, Ausheiz- und Poliertechniken hergestellt, wodurch das aktive Gebiet 102a lateral begrenzt wird. Vor oder nach dem Bereitstellen des Isolationsgebiets 102 wird eine geeignete Wannendotierstoffsorte eingebaut, beispielsweise durch Ionenimplantation, woran sich Ausheizprozesse und dergleichen anschließen. Als nächstes werden geeignete Materialien für die Gateelektrodenstrukturen 160 hergestellt, beispielsweise durch Abscheidung und dergleichen, woran sich ein geeignetes komplexes Strukturierungsschema anschließt, so dass die Materialien 161, 162 und 164 mit den gewünschten lateralen Abmessungen entsprechend den gesamten Entwurfsregeln strukturiert werden. Daraufhin werden weitere Prozesse ausgeführt, um die Transistorstrukturen 150 fertigzustellen, wobei der Einbau verformungsinduzierender Materialien (nicht gezeigt), das Einführen von Dotierstoffsorten für die Drain- und Sourcegebiete 151, das Bereitstellen der Abstandshalterstruktur 163 und dergleichen gehören können, was bewerkstelligt werden kann, indem eine geeignete Prozessstrategie angewendet wird. Daher ist in dieser Fertigungsphase das Platzhaltermaterial 161 zuverlässig durch die Deckschicht 164 und die Abstandshalterstruktur 163 eingeschlossen. In einigen Fällen werden geeignete Kontaktgebiete hergestellt, indem beispielsweise ein Metallsilizid in den Drain- und Sourcegebieten 151 eingebaut wird, während in anderen Fällen ein entsprechendes Metallsilizid in einer späteren Fertigungsphase vorgesehen wird. Als nächstes wird das dielektrische Zwischenschichtmaterial 121 aufgebracht, beispielsweise durch Anwenden einer geeigneten Abscheidetechnik, etwa Aufschleudertechniken in Verbindung mit nachfolgenden Behandlungen in Form von Ausheizprozessen und dergleichen, um das Material 121 mit den gewünschten Eigenschaften vorzusehen. Beispielsweise wird Siliziumdioxidmaterial, möglicherweise in Verbindung mit einer Ätzstoppschicht, etwa mit Siliziumnitrid (nicht gezeigt) lateral benachbart und über den Gatelektrodenstrukturen 160 vorgesehen. Bei Bedarf kann ein Einebnungsprozess ausgeführt werden, während in anderen Fallen ein geeigneter Lithographieprozess durchgeführt wird, um eine Ätzmaske bereitzustellen, die die laterale Größe und die Position der Öffnung 121a festlegt. Daraufhin wird ein selektiver Ätzprozess ausgeführt, etwa unter Anwendung gut etablierter plasmaunterstützter Ätzrezepte, um damit einen freiliegenden Bereich des Materials 121 abzutragen, während die Deckschicht 164 und die Abstandshalterstruktur 163, möglicherweise in Verbindung mit einer zusätzlichen Ätzstoppschicht, als Ätzmasken bzw. Ätzstoppmaterialien dienen. Danach werden restliche Materialien, etwa Ätzstoppmaterialien, unter Anwendung geeigneter Ätz- oder Reinigungsrezepte abgetragen, wobei dennoch die Materialien 164 und 163 zuverlässig bewahrt werden. Als nächstes werden geeignete Kontaktmaterialien, etwa Titannitrid in Verbindung mit Wolfram, abgeschieden, wodurch die Zwischenräume 122a zuverlässig aufgefüllt werden, die nunmehr als Kontaktöffnungen fungieren, wodurch entsprechende Kontaktelemente in einer selbstjustierten Weise erzeugt werden. Daraufhin wird überschüssiges Material abgetragen, beispielsweise unter Anwendung eines CMP-(chemisch-mechanischen Polier-)Prozesses, wobei in einer abschließenden Phase das Wolframmaterial in den Kontaktöffnungen 122a und das dielektrische Deckmaterial 164 in Verbindung mit dem dielektrischen Zwischenschichtmaterial 121 zu entfernen sind, wobei vorzugsweise eine sehr ähnliche Abtragsrate für die drei unterschiedlichen Materialien erreicht werden soll, um eine unnötige Absenkung oder Einkerbung des Kontaktmaterials zu vermeiden, wobei dennoch das Platzhaltermaterial 161 in den Gateelektrodenstrukturen 160 zuverlässig freigelegt wird. Zu beachten ist, dass ein entsprechendes Polierrezept sehr schwierig zu erstellen ist und somit typischerweise Prozessungleichmäßigkeiten mit dem entsprechenden Einebnungsprozess verknüpft sind. In anderen Fallen sind weitere Ätz- und Reinigungsprozesse erforderlich, die wiederum einen wesentlichen Einfluss auf das zuvor hergestellte Kontaktmetall ausüben.
  • Nach dem Freilegen des Platzhalterpolysiliziummaterials 161 müssen entsprechende selektive Ätzrezepte angewendet werden, um zuverlässig das Material 161 zu entfernen, während jedoch eine unerwünschte Materialerosion in den Kontaktelementen, die in den Öffnungen 122a gebildet sind, vermieden werden sollen. Nach dem Entfernen des Polysiliziumaterials 161 und möglicherweise des Materials 162 werden effiziente Reinigungsrezepte, etwa zum Entfernen von restlichen Oxidmaterialien, angewendet, wobei jedoch gut etablierte und effiziente Reinigungsmittel, etwa APM/SPM (Mischung aus Ammoniumhydroxid/Wasserstoffperoxid/Mischung aus schwefliger Säure/Wasserstoffperoxid) ggf. nicht verfügbar sind, da diese Mittel auch „in effizienter Weise” Wolfram abtragen, wodurch die Kontaktelemente wesentlich geschädigt werden. Während der nachfolgenden Bearbeitung, d. h. während des Abscheidens der unterschiedlichen Austrittsarbeitsmetall und deren Strukturierung, besteht ebenfalls eine hohe Wahrscheinlichkeit, dass die Kontaktelemente geschädigt werden, so dass generell ausgeprägte Ausbeuteverluste beobachtet werden, wenn selbstjustierte Kontaktelemente im Zusammenhang mit komplexen Austauschgateverfahren hergestellt werden.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Erfindung Fertigungstechniken und Halbleiterbauelemente, in denen selbstjustierte Kontaktelemente im Zusammenhang mit einem Austauschgateverfahren bereitgestellt werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Generell stellt die vorliegende Erfindung Fertigungstechniken und Halbleiterbauelemente bereit, in denen selbstjustierte Kontaktelemente im Rahmen eines Austauschgateverfahrens bereitgestellt werden, indem das Austauschgateverfahren zunächst auf der Grundlage eines speziell ausgewählten Platzhaltermaterials angewendet wird, während die selbstjustierten Kontaktelemente mit einem geeigneten Opferfüllmaterial gefüllt sind. Die Ätzeigenschaften sind speziell im Hinblick auf das spezielle Platzhaltermaterial der Gateelektrodenstrukturen ausgesucht. Folglich kann das Austauschgateverfahren auf der Grundlage geeigneter Reinigungsrezepte, Abscheide- und Ätztechniken angewendet werden, während die Kontaktöffnungen, die zuvor auf der Grundlage einer selbstjustierten Ätztechnik hergestellt sind, zuverlässig durch das Opferfüllmaterial gefüllt sind, das dann auf der Grundlage sehr selektiver Ätzrezepte entfernt wird, um damit das nachfolgende Abscheiden eines geeigneten Kontaktmetalls oder Materials zu ermöglichen.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden eines Opferfüllmaterials lateral benachbart zu einer Gateelektrodenstruktur, die auf einem aktiven Gebiet eines Halbleiterbauelements ausgebildet ist, wobei die Gateelektrodenstruktur ein Platzhaltermaterial aufweist. Das Verfahren umfasst ferner das Freilegen einer Oberfläche des Platzhaltermaterials durch Einebnen des Opferfüllmaterials. Das Verfahren umfasst ferner das Ersetzen des Platzhaltermaterials durch mindestens ein Elektrodenmetall in Anwesenheit des Opferfüllmaterials. Ferner umfasst das Verfahren das Entfernen des Opferfüllmaterials derart, dass ein Kontaktgebiet des aktiven Gebiets freigelegt wird, nachdem das Platzhaltermaterial ersetzt ist. Das Verfahren umfasst ferner das Bilden eines leitenden Materials in dem freiliegenden Kontaktgebiet derart, dass ein Kontaktelement erzeugt wird.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Gateelektrodenstruktur auf einem aktiven Gebiet eines Halbleiterbauelements, wobei die Gateelektrodenstruktur ein halbleiterenthaltendes Platzhaltermaterial aufweist. Das Verfahren umfasst ferner das Bilden eines dielektrischen Zwischenschichtmaterials über und benachbart zu dem aktiven Gebiet. Ferner wird das dielektrische Zwischenschichtmaterial selektiv von oberhalb zumindest eines Teils des aktiven Gebiets entfernt, so dass Kontaktöffnungen lateral benachbart zu der Gateelektrodenstruktur entstehen. Das Verfahren umfasst ferner das Bilden eines halbleiterenthaltenden Oberfüllmaterials in den Kontaktöffnungen. Ferner umfasst das Verfahren das Ersetzen des Platzhaltermaterials der Gateelektrodenstruktur zumindest mit einem metallenthaltenden Elektrodenmaterial in Anwesenheit des Opferfüllmaterials.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst mehrere Gateelektrodenstrukturen, die auf einem aktiven Gebiet ausgebildet sind, wobei jede der mehreren Gateelektrodenstrukturen ein dielektrisches Material mit großem ε, ein Elektrodenmetall und eine dielektrische Seitenwandabstandshalterstruktur aufweist. Das Halbeliterbauelement umfasst ferner ein Kontaktelement, das lateral zwischenzwei der mehreren Gateelektrodenstrukturen ausgebildet ist und mit dem aktiven Gebiet in Verbindung steht, wobei das Kontaktelement in einer Längsrichtung durch die dielektrische Abstandshalterstruktur begrenzt ist und ein Metall mit einer thermischen Stabilität aufweist, die geringer ist als eine thermische Stabilität von Wolfram.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1 schematisch eine Querschnittsansicht eines Halbleiterbauelements in einer Fertigungsphase zeigt, in der selbstjustierte Kontaktöffnungen und Kontaktelemente vor dem Anwenden eines Austauschgateverfahrens gemäß konventionellen Strategien hergestellt werden; und
  • 2a bis 2k schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen ein Austauschgateverfahren vor dem Füllen von selbstjustierten Kontaktöffnungen mit einem geeigneten Kontaktmaterial gemäß anschaulichen Ausführungsformen angewendet wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung stellt allgemein Fertigungstechniken und Halbleiterbauelemente bereit, in denen ein Austauschgateverfahren angewendet wird, ohne dass im Wesentlichen Kontaktelemente beeinflusst werden, die in selbstjustierter Weise vorgesehen werden. Zu diesem Zweck werden Kontaktöffnungen in einer selbstjustierten Weise geschaffen, während ein Platzhaltermaterial der Gateelektrodenstrukturen weiterhin zuverlässig durch geeignete dielektrische Materialien, etwa eine Deckschicht, eine Abstandshalterstruktur und dergleichen, eingeschlossen ist. In dieser Fertigungsphase wird der Aufbau der Transistorelemente fertiggestellt, beispielsweise durch den Einbau einer geeigneten Metall/Halbleiterverbindung, etwa durch ein Metallsilizid, in Kontaktbereiche durch die zuvor hergestellten selbstjustierten Kontaktöffnungen, während die Gateelektrodenstrukturen weiterhin in ihrer eingekapselten Konfiguration vorhanden sind. Daraufhin werden die Kontaktöffnungen mit einem geeigneten Opferfüllmaterial aufgefüllt, wobei die Materialeigenschaften des Füllmaterials und die Eigenschaften des Platzhaltermaterials der Gateelektrodenstrukturen geeignet so festgelegt sind, dass ein selektives Entfernen dieser Materialien in Bezug zueinander möglich ist und auch ausreichende Ätzstoppeigenschaften während des Anwendens des komplexen Austauschgateverfahrens bereitstellen. Daraufhin wird das Füllmaterial effizient auf Grund der geeignet ausgewählten Materialeigenschaften entfernt, und ein gewünschtes Kontaktmaterial wird dann in den Kontaktöffnungen ausgebildet, wobei in einigen anschaulichen Ausführungsformen sogar Metalle mit reduzierter thermischer Stabilität im Vergleich zu Wolfram, etwa Aluminium, und dergleichen, vorgesehen werden können, da Hochtemperaturprozesse, wie sie während des Austauschgateverfahrens erforderlich sind, auf der Grundlage der Opferfüllmaterials bewerkstelligt werden.
  • Mit Bezug zu den 2a bis 2k werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf 1 verwiesen wird.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 in einer frühen Fertigungsphase. D. h., das Bauelement 200 umfasst ein Substrat 201, über welchem eine Halbleiterschicht 202 ausgebildet ist, in der mehrere aktive Gebiete, etwa ein aktives Gebiet 202a, lateral durch ein Isolationsgebiet 202c begrenzt sind. Die Halbleiterschicht 202 kann in Form eines beliebigen geeigneten Halbleitermaterials vorgesehen werden, etwa als Silizium, Silizium/Germanium und dergleichen, wobei wenn eine SOI-(Silizium-auf-Isolator-)Architektur betrachtet wird, ein vergrabenes isolierendes Material (nicht gezeigt) direkt unter der Halbleiterschicht 202 ausgebildet ist. In anderen Fällen wird eine Vollsubstratkonfiguration eingesetzt, in der das Halbleitermaterial der Schicht 202 und das aktive Gebiet 202a direkt mit einem kristallinen Material des Substrats 201 in Verbindung steht. Ferner ist in dieser Fertigungsphase ein Stapel aus Schichten 261 und 264 vorgesehen, möglicherweise in Verbindung mit einem dielektrischen Material oder Ätzstoppmaterial 262, um eine Gateelektrodenstruktur zu bilden, die als eine Platzhaltergateelektrodenstruktur verwendet wird, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist. Beispielsweise wird die Schicht 261 als ein Platzhaltermaterial betrachtet, das in einer späten Fertigungsphase beim Ausführen eines Austauschgateverfahrens ersetzt werden soll, wie dies auch zuvor beschrieben ist. Ferner dient die Schicht oder das Schichtsystem 264 als eine dielektrische Deckschicht und kann aus Siliziumnitrid, möglicherweise in Verbindung mit Siliziumdioxid und dergleichen aufgebaut sein. In ähnlicher Weise ist die Schicht 262 in Form eines Siliziumdioxidmaterials, Siliziumnitrid, Siliziumoxinitrid, in Form eines dielektrischen Materials mit großem ε, etwa Hafniumoxid, möglicherweise in Verbindung mit Silizium und dergleichen, vorgesehen. In einer anschaulichen Ausführungsform ist das Platzhaltermaterial 261 als ein Halbleiterbasismaterial vorgesehen, das die Verwendung gut etablierter Ätzrezepte, beispielsweise beim Strukturieren des Schichtstapels, d. h. der Schichten 264, 261, 262 möglich macht, wobei auch eine gewünschte hohe Ätzrate im Vergleich zu einem Opferfüllmaterial erreicht wird, das in einer sehr späten Fertigungsphase vorzusehen ist. Dazu wird in einer anschaulichen Ausführungsform die Schicht 261 als ein Silizium/Germaniummaterial aufgebracht, wobei der Germaniumanteil ungefähr 10 bis 50 Atomprozent oder mehr beträgt, wobei dies von der gesamten Prozessstrategie abhängt. Es ist gut bekannt, dass eine Silizium/Germaniummischung effizient selektiv in Bezug auf Siliziumdioxid, Siliziumnitrid, Polysilizium und dergleichen geätzt werden kann auf der Grundlage gut etablierter nasschemischer Ätzchemie, plasmaunterstützter Ätzrezepte und dergleichen. Beispielsweise können eine Vielzahl an nasschemischen Ätzchemien, die für das Entfernen von Siliziummaterial bekannt sind, in geeigneter Weise so eingestellt werden, dass vorzugsweise Silizium/Germanium-Material im Vergleich zu einem im Wesentlichen reinen Siliziummaterial abgetragen wird, wodurch die Verwendung eines Polysiliziummaterials als eine effiziente Ätzmaske oder Ätzstoppmaterial in einer späteren Fertigungsphase möglich ist. Andererseits können auch gut etablierter Strukturierungsstrategien effizient auf ein Silizium/Germanium-Material angewendet werden, da in vielen konventionellen Halbleiterstrategien ein Silizium/Germanium-Material häufig als ein effizientes Elektrodenmaterial für Gateelektrodenstrukturen angewendet wird.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlager einer beliebigen geeigneten Prozessstrategie hergestellt werden, beispielsweise zur Herstellung des Isolationgsgebiets 202c, und des aktiven Gebiets 202a, wie dies auch zuvor mit Bezug zu dem Bauelement 100 erläutert ist. Das dielektrische Material 262, falls dieses vorgesehen ist, kann durch Oxidation, Abscheidung und dergleichen hergestellt werden, woran sich das Abscheiden des Platzhaltermaterials 261 anschließt, wobei gut etablierte CVD(chemische Dampfabscheide-)Techniken bei geringem Druck angewendet werden, wobei die Vorstufenmaterialien geeignet so gewählt sind, dass die gewünschte Materialzusammensetzung erreicht wird. Beispielsweise werden siliziumenthaltende Materialien und germaniumenthaltende Materialien in Form von Germaniumhydrid und dergleichen verwendet, um ein Silizium/Germanium-Material mit einem gewünschten Germaniumanteil zu erzeugen. Daraufhin werden die eine oder die mehreren Deckschichten 264 aufgebracht, beispielsweise durch plasmaunterstützte CVD und dergleichen.
  • 2b zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der Gateelektrodenstrukturen 260 auf dem aktiven Gebiet 202a ausgebildet sind, wobei eine oder mehrere der Gateelektrodenstrukturen 260 auch teilweise über dem Isolationsgebiet 202c ausgebildet kann, beispielsweise um als eine Platzhalterstruktur zu dienen, um damit bessere Strukturierungsbedingungen und dergleichen zu schaffen. Die Strukturierung der Gateelektrodenstrukturen 260 kann bewerkstelligt werden, indem geeignete aufwendige Lithographie- und Ätztechniken eingesetzt werden, wozu beispielsweise Doppelbelichtungs-Doppelätzstrategien gehören, um die Deckschicht 264 in geeigneter Weise zu strukturieren, die dann als ein geeignetes Hartmaskenmaterial zum Strukturieren der Materialien 261 und 262 dient. Wie zuvor erläutert ist, können insbesondere, wenn ein Silizium/Germaniummaterial verwendet wird, gut etablierte Ätzrezepte angewendet werden, wodurch ein hohes Maß an Kompatibilität zu konventionellen Gatestrukturierungstechniken erreicht wird. Zu beachten ist jedoch, dass das Material 261 auch in Form eines anderen Materials vorgesehen werden kann, solange das Material 261 in geeigneter Weise strukturiert werden kann, um die Gateelektrodenstruktur 260 mit gewünschten lateralen Abmessungen bereitzustellen, etwa mit einer Gatelänge 2601 gemäß den gesamten Entwurfsregeln. Das Material 261 muss ferner sehr effizient während eines Austauschgateverfahrens entfernt werden können selektiv in Bezug auf typische dielektrische Materialien, etwa Siliziumdioxid, Siliziumnitrid und in Bezug auf ein Opferfüllmaterial, das in einer späteren Fertigungsphase bereitgestellt wird.
  • Auf der Grundlage der Gateelektrodenstrukturen 260 werden weitere Prozesse angewendet, um die Transistorstrukturen in und über dem aktiven Gebiet 202a fertigzustellen, was den Einbau eines verformungsinduzierenden Halbleitermaterials (nicht gezeigt), das Einführen geeigneter Dotierstoffsorten, die Herstellung von Abstandshalterstrukturen und dergleichen mit einschließen kann.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, sind mehrere Transistoren 250 in und über dem aktiven Gebiet 202a ausgebildet und weisen die Gateelektrodenstrukturen 260 auf. Die Transistoren 250 enthalten Drain- und Sourcegebiete 251 mit geeigneter Konfiguration, beispielsweise im Hinblick auf die Dotierstoffkonzentration, das Dotierstoffprofil und dergleichen, wobei auch, wie dies zuvor erläutert ist, ein verformungsinduzierendes Halbleitermaterial zumindest in einem Teil der Drain- und Sourcegebiete 251 einiger der Transistoren 250 eingebaut sein kann. Ferner enthalten die Gateelektrodenstrukturen 260 eine Abstandshalterstruktur 263, etwa in Form eines Siliziumnitridmaterials, eines Siliziumdioxidmaterials und dergleichen, wobei eine Breite in Übereinstimmung mit den gesamten Bauteilerfordernissen ausgewählt ist. Beispielsweise beträgt eine Breite der Abstandshalterstruktur 263 6 bis 50 nm in komplexen Anwendungen, in denen eine Gatelänge ungefähr 30 nm und weniger ist. Zu beachten ist jedoch, dass die Abmessungen der diversen Komponenten effizient im Hinblick auf die gesamten Entwurfsregeln eingestellt werden können. Folglich hat ein Zwischenraum zwischen benachbarten Gateelektrodenstrukturen 260 eine laterale Abmessung im Bereich von 50 nm und deutlich weniger. In der gezeigten Fertigungsphase ist ferner ein dielektrisches Zwischenschichtmaterial 221, etwa ein Siliziumdioxidmaterial und dergleichen, über und lateral benachbart zu den Gateelektrodenstrukturen 260 ausgebildet und ist auch über anderen Bauteilgebieten des Bauelements 200 ausgebildet. Folglich sind die Zwischenräume zwischen den Gateelektrodenstrukturen 260 ebenfalls mit dem dielektrischen Zwischenschichtmaterial 221 gefüllt. In der gezeigten Ausführungsform ist ferner eine Ätzstoppschicht 223, etwa ein Siliziumnitridmaterial und dergleichen, unter dem dielektrischen Zwischenschichtmaterial 222 ausgebildet und besitzt eine geeignete Dicke, beispielsweise ungefähr 10 nm und weniger, wobei dies von den gesamten Bauteil- und Prozesserfordernissen abhängt.
  • Generell kann das in 2c gezeigte Halbleiterbauelement 200 auf der Grundlage geeigneter Prozesstechniken hergestellt werden, wie sie auch zuvor beschrieben sind, um den grundlegenden Aufbau der Transistoren 250 fertigzustellen, beispielsweise durch Verwendung der Abstandshalterstruktur 263 beim Einbau von Dotierstoffsorten durch Anwendung von Implantationstechniken und dergleichen. Wie zuvor erläutert ist, werden in einigen Fallen Dotierstoffsorten eingebaut, indem zumindest ein Teil des Materials der aktiven Gebiete 202a durch ein in-situ-dotiertes Halbleitermaterial ersetzt wird, das in einigen Fällen auch für verbesserte Verformungsbedingungen innerhalb des aktiven Gebiets 202a sorgen kann. Daraufhin werden Hochtemperaturprozesse ausgeführt, um durch Implantation hervorgerufene Schäden zu rekristallisieren und auch um zuvor eingeführte Dotierstoffsorten zu aktivieren. Als nächstes wird die Schicht 223 beispielsweise durch plasmaunterstützte CVD, thermische aktivierte CVD, Mehrschichtabscheidetechniken und dergleichen aufgebracht, um das Material 223 mit einem gewünschten Grad an konformen Verhalten und einer gewünschten Schichtdicke bereitzustellen. Daraufhin wird das Material 221 aufgebracht, beispielsweise durch Aufschleudertechniken und dergleichen, wodurch die Zwischenräume zwischen den Gateelektrodenstrukturen gefüllt werden und wodurch ein gewisses Maß an Überfüllung dieser Zwischenräume erreicht wird. Beispielsweise sind viele Aufschleuderrezepte verfügbar, um ein Siliziumdioxidmaterial in einem Zustand geringer Viskosität bereitzustellen, das nachfolgend auf der Grundlage erhöhter Temperaturen so behandelt wird, dass die gewünschte Materialeigenschaften erhalten werden.
  • 2d zeigt schematisch das Halbleiterbauelement 200 in einer Phase, in der ein Einebnungsprozess 203 so angewendet wird, dass eine eingeebnete Oberflächentopographie geschaffen wird, wodurch bessere Prozessbedingungen für einen nachfolgenden Lithographieprozess geschaffen werden, um eine globale Kontaktöffnung über dem aktiven Gebiet 202a zu definieren. Beispielsweise wird in einigen anschaulichen Ausführungsformen der Einebnungsprozess 203 als ein CMP-Prozess angewendet, in welchem die dielektrischen Deckschicht 264 effizient als Stoppmaterial eingesetzt werden. In diesem Falle wird ein selektives CMP-Rezept eingesetzt, in welchem Siliziumdioxidmaterial mit deutlich höherer Abtragsrate im Vergleich zu Siliziumnitridmaterial abgetragen wird. Es sollte beachtet werden, dass auch andere Einebnungstechniken eingesetzt werden können, beispielsweise auf der Grundlage von Ätztechniken und dergleichen, möglicherweise in Verbindung mit einem CMP-Prozess, so dass eine verbesserte Gleichmäßigkeit der resultierenden Oberfläche des Bauelements 200 erreicht wird. Es sollte des weiteren beachtet werden, dass ein Freilegen der Deckschichten 264 nicht notwendiger Weise während des Abtragungsprozesses 203 erforderlich ist, wobei jedoch das Freilegen des dielektrischen Deckmaterials 263 vorteilhaft sein kann im Hinblick auf das Verringern des Aspektverhältnisses von Kontaktöffnungen, die noch durch das Entfernen unerwünschter Bereiche des dielektrischen Zwischenschichtmaterials 221 in einer späteren Fertigungsphase zu bilden sind.
  • 2e zeigt schematisch das Bauelement 200 in einer Fertigungsphase, in der eine Ätzmaske 204, etwa eine Lackmaske, so vorgesehen ist, dass die laterale Lage, die Größe und die Form einer globalen Kontaktöffnung 221a festgelegt sind, indem eine geeignete Maskenöffnung 204a in der Ätzmaske 204 bereitgestellt wird. Dazu können gut etablierte Lithographietechniken angewendet werden, wobei generell die Größe und die Lage der Maskenöffnung 204a deutlich weniger kritisch sind im Vergleich zu aufwendigen Strukturierungstechniken, in denen Kontaktöffnungen in Bezug auf die Gateelektrodenstrukturen auszurichten sind, wie dies auch zuvor erläutert ist. Es sollte jedoch beachtet werden, dass die Maskenöffnung 204a so auszurichten ist, dass sie über den funktionslosen Gateelektrodenstrukturen lateral begrenzt ist, die an dem Rand des aktiven Gebiets 202a so ausgebildet sind, dass sie mit dem Isolationsgebiet 202c überlappen.
  • Nach dem Bereitstellen der Ätzmaske 204 wird ein Ätzprozess 205 ausgeführt, um freiliegende Bereiche des dielektrischen Zwischenschichtmaterials 221 zwischen den Gateelektrodenstrukturen 260 zu entfernen, wodurch Kontaktöffnungen 222a geschaffen werden. Während des Ätzprozesses 205 wird ein geeignetes Ätzrezept eingesetzt, um das Material 221 selektiv in Bezug auf beispielsweise die Deckschicht 264 und dem Abstandshalter 263 und das Ätzstoppmaterial 223 zu entfernen. Für diesen Zweck ist eine Vielzahl an sehr selektiven plasmaunterstützten Ätzrezepten verfügbar, um etwa Siliziumdioxidmaterial selektiv in Bezug auf Siliziumnitrid zu entfernen. In anderen Fällen werden nasschemische Ätzrezepte angewendet, wobei dies von dem Ätzwiderstand der Maske 204 abhängt. Daraufhin wird ein weiterer Ätzschritt in dem Prozess 205 ausgeführt, um Reste der Ätzstoppschicht 223 zu entfernen, so dass Kontaktgebiete 251c freigelegt werden, die tatsächlich einen Bereich der Drain- und Sourcegebiete 251 abhängig von den lateralen Abmessungen der globalen Kontaktöffnung 221a darstellen. Beim Entfernen der Ätzmaske 204 können zusätzliche Reinigungsrezepte angewendet werden, um Restmaterialien und Kontaminationsstoffe aus dem vorhergehenden Ätzen zu entfernen, wobei dennoch ein ausgeprägter Anteil der dielektrischen Deckschicht 254 beibehalten wird, wodurch das Platzhaltermaterial 261 weiterhin zuverlässig eingekapselt ist. D. h., während des Ätzprozesses 205 kann ein Teil des Materials 264 aufgebracht werden, beispielsweise die Hälfte des Materials kann verbrauch werden, wobei dennoch das Material 261 zuverlässig abgedeckt bleibt. Beispielsweise besitzt die Deckschicht 264 vor dem Ätzprozess 205 eine Dicke von ungefähr 40 nm, so dass ungefähr 20 nm weiterhin nach dem Ätzprozess 205 verbleiben.
  • 2f zeigt schematisch eine Draufsicht des Bauelements 200 nach dem zuvor angewendeten Ätzprozess. Wie gezeigt, erstrecken sich die Gateelektrodenstrukturen 260 über das aktive Gebiete 202a und besitzen ein Ende über dem Isolationsgebiet 202c (siehe 2e), das von dem dielektrischen Zwischenschichtmaterial 221 bedeckt ist. Ferner ist der Einfachheit halber die Position der Ätzmaske 204 angegeben. In diesem Falle ist ersichtlich, dass ein wesentlicher Teil des aktiven Gebiets 202a durch die Ätzmaske 204 freigelegt ist, so dass die resultierenden Kontaktöffnungen 222a längliche Gräben bilden, die sich entlang einer Breitenrichtung W des aktiven Gebiets 202 erstrecken. Es sollte jedoch beachtet werden, dass die Öffnung der Ätzmaske 204 auch eine beliebige geeignete laterale Konfiguration aufweisen kann, um beispielsweise „Kontaktausschlusszonen” innerhalb des aktiven Gebiets 202a zu definieren, wenn das Vorsehen von Kontaktelementen in derartigen Ausschlusszonen im Hinblick auf den gesamten geometrischen Aufbau des Bauelements 200 als ungeeignet erachtet wird, beispielsweise im Hinblick auf das Bereitstellen von Verbindungen zu den diversen Transistorelementen und zu den Gateelektrodenstrukturen 260.
  • 2g zeigt schematisch das Bauelement 200 nach der Herstellung der Kontaktöffnungen 222a unter Anwendung einer Prozesssequenz, wie sie zuvor beschrieben ist, wodurch die Kontaktbereiche 251c freigelegt werden. In einigen anschaulichen Ausführungsformen wird die Leitfähigkeit der Kontaktgebiete 251c weiter verbessert, indem ein geeignetes metallenthaltendes Material 252, etwa in Form von Metallsilizid, oder generell in Form einer Mertall/Halbleiterverbindung, vorgesehen wird, wobei dies von dem Aufbau der Drain- und Sourcegebiete 251 abhängt. Beispielsweise können geeignete hochschmelzende Metalle, etwa Nickel, Platin und dergleichen aufgebracht werden, beispielsweise durch physikalische Dampfabscheidung, woran sich eine geeignete Wärmebehandlung anschließt, um eine chemische Reaktion mit dem darunter liegenden Material in den Kontaktbereichen 251c in Gang zu setzen. Z. B. wird Nickelsilizid, das auch eine gewünschte Menge an Platin aufweisen kann, während des Ausheizprozesses erzeugt, woran sich das selektive Entfernen von nicht-reagiertem Metallmaterial anschließt, etwa durch ein nasschemisches Ätzrezept, das zum Entfernen von nicht-reagiertem Material angewendet wird, woran sich eine weitere Wärmebehandlung anschließen kann, um die resultierenden Metall/Halbleitergebiete 251 thermisch zu stabilisieren. Danach kann, wenn Platin vorhanden ist, ein weiteres nasschemisches Ätzrezept angewendet werden, um Metallreste zu entfernen. Während der gesamten Prozesssequenz zum Bereitstellen des besseren Materials 251 in den Kontaktgebieten 251c, sind die Gateelektrodenstrukturen 260 weiterhin zuverlässig durch die Deckschicht 264 und die Abstandshalterstruktur 263 in Verbindung mit der Ätzstoppschicht 223 eingeschlossen.
  • 2h zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Opferfüllmaterial 206 über dem dielektrischen Zwischenschichtmaterial 221 und den Gateelektrodenstrukturen 260 hergestellt wird, wodurch auch die Kontaktöffnungen 222a gefüllt werden. In einer anschaulichen Ausführungsform umfasst das Füllmaterial 206 ein Halbleitermaterial, das andere Ätzeigenschaften im Vergleich zu dem Platzhaltermaterial 261 besitzt. Beispielsweise wird die Schicht 206 in Form eines Siliziummaterials vorgesehen, wodurch eine hohe Ätzselektivität in Bezug auf gut etablierte dielektrische Materialien, etwa Siliziumdioxid, Siliziumnitrid und dergleichen, erreicht wird, wie sie typischerweise in dem dielektrischen Zwischenschichtmaterial 221 und für das Einkapseln der Gateelektrodenstrukturen 260 verwendet werden. Es sollte beachtet werden, dass das Opferfüllmaterial 206 auch einen höheren Ätzwiderstand im Hinblick auf eine Vielzahl von Reinigungsrezepten und Ätzprozessen aufweisen kann, die beim Anwenden eines Austauschgateverfahrens anzuwenden sind, so dass beispielsweise Siliziummaterial für ein hohen Grad an Kompatibilität in Bezug auf derartige Prozessstrategien sorgt. Es ist jedoch zu beachten, dass auch ein anderes geeignetes Füllmaterial eingesetzt werden kann, das die erforderlichen Ätzeigenschaften während der weiteren Bearbeitung des Bauelements 200 besitzt. Beispielsweise kann eine Ätzstoppschicht (nicht gezeigt) vor dem Abscheiden des eigentlichen Füllmaterials 206 hergestellt werden, beispielsweise in Form eines Siliziummaterials, eines Siliziumnitridmaterials, eines dielektrischen Materials mit großem ε, etwa Hafniumoxid und dergleichen, um damit ein nachfolgendes effizientes Entfernen des Füllmaterials 206 und einer dünnen Ätzstoppmaterialschicht nach dem Ersetzen des Platzhaltermaterials 261 zu ermöglichen.
  • In einer anschaulichen Ausführungsform wird das Füllmaterial 206 in Form eines stark dotierten Siliziummaterials bereitgestellt, um dem Material 206 einen hohen Ätzwiderstand in Bezug auf ein Ätzrezept zu verleihen, das zum selektiven Entfernen des Platzhaltermaterials 261 in einer späteren Fertigungsphase angewendet wird. Beispielsweise ist bekannt, dass der Einbau einer geeigneten Dotierstoffsorte, etwa von Bor und dergleichen, zu einer deutlich geringeren Ätzrate im Hinblick auf eine Vielzahl von nasschemischen Ätzrezepten beispielsweise auf der Basis von TMAH (Tetramethylammoniumhydroxid) führt, das effizient verwendet werden kann, um Siliziummaterial, Silizium/Germanium-Material und dergleichen zu entfernen. Eine entsprechende Dotierstoffsorte kann während des Abscheidens des Füllmaterials 206 eingebaut werden, indem ein geeignetes Vorstufengas der Abscheideatmosphäre hinzugefügt wird, wie dies für die Herstellung eines in-situ-dotierten Polysiliziummaterials gut bekannt ist, das häufig zur Herstellung konventioneller Gateelektrodenstrukturen verwendet wird, Auf diese Weise kann das Platzhaltermaterial 261 als ein im Wesentlichen nicht dotiertes Material vorgesehen werden und/oder dessen Germaniumanteil wird reduziert, da die ausgeprägte Ätzselektivität auf der Grundlage des Vergrößerns des Ätzwiderstands des Opferfüllmaterials 206 erreicht wird. Es sollte beachtet werden, dass der Einbau einer Dotierstoffsorte in das Material 261 während des vorhergehenden Fertigungsprozesses, beispielsweise zur Herstellung der Drain- und Sourcegebiete 251 auf der Grundlage von Implantationsprozessen wesentlich verringert werden kann auf Grund der Anwesenheit der Deckschicht 264, so dass dennoch ein ausgeprägter Unterschied in der Dotierstoffkonzentration zwischen dem Material 206 und dem Platzhaltermaterial 261 beim geeigneten Dotieren der Schicht 206 erreicht werden kann.
  • Nach dem Abscheiden des Opferfüllmaterials 206 möglicherweise in Verbindung mit einer optionalen Ätzstoppschicht (nicht gezeigt), was auf der Grundlage gut etablierter CVD-Techniken mit geringem Druck und dergleichen bewerkstelligt werden kann, wird ein Einebnungsprozess 207 angewendet, um die Schicht 206 einzuebnen, wodurch auch das Platzhaltermaterial 261 schließlich freigelegt wird. Beispielsweise umfasst in einigen anschaulichen Ausführungsformen der Einebnungsprozess 207 einen CMP-Prozess auf der Grundlage eines Polierrezepts, in welchem zumindest in einer abschließenden Phase die unterschiedlichen Materialien mit ähnlicher Abtragsrate abgetragen werden, wodurch zuverlässig das Platzhaltermaterial 261 freigelegt wird, ohne dass eine ausgeprägte Erosion anderer Materialien hervorgerufen wird, etwa des Füllmaterials 206 und des dielektrischen Zwischenschichtmaterials 221. In anderen Fällen umfasst der Einebnungsprozess 207 eine Ätzsequenz, möglicherweise in Verbindung mit einem CMP-Prozess, wobei dies von der gesamten Prozessstrategie abhängt. Beispielsweise können unter Anwendung eines Polysiliziummaterials für die Schicht 206 gut etablierte CMP-Rezepte zum Entfernen von Siliziumdioxid, Siliziumnitrid und Polysilizium mit im Wesentlichen identischer Abtragsrate zumindest während einer abschließenden Phase des Einebnungsprozesses 207 angewendet werden.
  • 2i zeigt schematisch das Halbleiterbauelement 200 nach dem Ende der zuvor beschriebenen Prozesssequenz. D. h., die Zwischenräume zwischen den Gateelektrodenstrukturen 260, d. h. die Kontaktöffnungen 220a, sind weiterhin mit dem Opferfüllmaterial 207, etwa Polysilizium und dergleichen gefüllt, während andererseits die obere Fläche 261s des Platzhaltermaterials 261 freigelegt ist. Daher ist die resultierende Oberfläche des Bauelements 200 aus dem dielektrischen Zwischenschichtmaterial 221, dem Material der Abstandshalterstrukturen 263 und der Ätzstoppbeschicht 223, die beispielsweise aus Siliziumnitrid aufgebaut sind, und dem Füllmaterial 206 zusammengesetzt. Wie zuvor erläutert ist, sind die Materialien 261 und 206 im Hinblick auf Ätzeigenschaften so ausgewählt, dass das Material 261 zuverlässig entfernt werden kann, ohne dass eine unerwünschte Erosion des Füllmaterials 206 in den Kontaktöffnungen 220a hervorgerufen wird. Auf diese Weise bleiben die empfindlichen Kontaktgebiete 251c zuverlässig durch das Material 206 geschützt, während auch eine günstige Oberflächentopographie zum Ausführen des Austauschgateverfahrens geschaffen wird. Auf der Grundlage der Bauteilstruktur, wie sie in 2i gezeigt ist, wird ein geeigneter Ätzprozess 208 ausgeführt, beispielsweise auf der Grundlage nasschemischer Ätzchemien, plasmaunterstützter Ätzrezepte oder einer Kombination davon, um das Material 261 zu entfernen. Dazu können gut etablierte nasschemische Ätzchemien eingesetzt werden, wobei geeignete Prozessparameter, etwa die Konzentration diverser Komponenten, die Prozesstemperatur und dergleichen, effizient so bestimmt sind, dass eine hohe Ätzrate für das Material 261 erreicht wird, ohne dass ein ausgeprägter Materialverlust in dem Füllmaterial 206 stattfindet. Beispielsweise können TMAH oder andere basische Mittel eingesetzt werden, wobei geeignete Prozessparameter effizient auf der Grundlage von Experimenten ermittelt werden können, um damit eine deutlich geringe Ätzrate für das Material 206 zu erreichen. In anderen Fällen werden plasmaunterstützte Rezepte angewendet, die dafür bekannt sind, dass sie eine deutlich höhere Ätzrate in einem Silizium/Germanium-Material im Vergleich zu einem Polysiliziummaterial besitzen. Wie zuvor erläutert ist, kann ferner der Ätzwiderstand des Füllmaterials 206 deutlich erhöht werden, beispielsweise durch den Einbau einer in-situ-Dotierstoffsorte, etwa von Bor, was zu einer deutlich geringeren Ätzrate im Vergleich zu undotierten oder schwach dotierten Siliziummaterial oder Silizium/Germanium-Material führt, das als das Platzhaltermaterial 261 verwendet ist. Nach dem Entfernen des Platzhaltermaterials 261 können geeignete Reinigungsrezepte angewendet werden, beispielsweise auf der Grundlage von APM oder SPM, da im Gegensatz zu konventionellen Vorgehensweisen, wie sie zuvor erläutert sind, die Kontaktöffnungen 221a zuverlässig mit dem Opfermaterial 206 gefüllt sind. Nach dem Entfernen des Platzhaltermaterials 261 werden folglich bessere Bedingungen in den resultierenden Gategräben (nicht gezeigt) geschaffen, indem gut etablierte und sehr effiziente nasschemische Reinigungsrezepte angewendet werden können. Daraufhin werden geeignete und erforderliche Materialien in den resultierenden Gategräben erzeugt.
  • 2j zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, enthalten die Gateelektrodenstrukturen 260 nunmehr zumindest eine Austrittsarbeitsmetallsorte 266 in Verbindung mit einem Elektrodenmetall 267, das in Form von Aluminium, einer Aluminiumlegierung und dergleichen vorgesehen werden kann. Die Austrittsarbeitssorte 266 kann in Form einer speziellen Metallschicht, etwa als einer speziellen Metallschicht, etwa als Aluminium, Lanthan, Tantal, Titan, Titannitrid, Tantalnitrid und dergleichen bereitgestellt werden, wie dies zum Erreichen der gewünschten Austrittsarbeit und somit der gewünschten Schwellwertspannung der Transistoren 250 erforderlich ist. In einigen anschaulichen Ausführungsformen wird vor dem Einbau der Austrittsarbeitsmetallsorte 266 eine Gatedielektrikumschicht 265 hergestellt, beispielsweise auf der Grundlage eines konventionellen dielektrischen Materials, etwa in Form von Siliziumdioxid und dergleichen, in Verbindung mit einem dielektrischen Material mit großem ε, etwa Hafniumoxid, Zirkonoxid und dergleichen. Dazu wird ein dielektrisches Basismaterial durch chemische Oxidation und dergleichen, Abscheidung und dergleichen, hergestellt, woran sich das sehr konforme Abscheiden eines dielektrischen Materials mit großem ε anschließt, was bewerkstelligt werden kann unter Anwendung gut etablierter ALD-(Atomlagenabscheide-)Techniken und dergleichen. Daraufhin wird die Austrittsarbeitsmetallsorte 266 aufgebracht, was das Abscheiden zweier oder mehrerer Materialschichten und deren Strukturierung beinhalten kann, um eine geeignete Austrittsarbeitsmetallsorte für unterschiedliche Transistorarten vorzusehen, die in dem Halbleiterbauelement 200 geschaffen werden. Bei Bedarf können ferner zusätzliche Wärmebehandlungen ausgeführt werden, die zu Metallsiliziden, die in den Drain- und Sourcegebieten 251 gebildet sind, kompatibel sind. Nach dem Bereitstellen der entsprechenden Austrittsarbeitsmetallsorte für die diversen Transistorarten wird das Füllmetall 267 auf der Grundlage einer gut etablierten Abscheidetechnik aufgebracht, woran sich ein Entfernungsprozess zum Entfernen von überschüssigem Metall und möglicherweise von überschüssigem dielektrischen Material anschließt. Während des entsprechenden Prozesses zum Einbau der Materialien 265, 266, 267 und zum Entfernen eines überschüssigen Teils davon, führt die günstige Oberflächentopographie, die durch das Füllmaterial 206 geschaffen ist, zu einer besseren Prozessrobustheit und Gleichmäßigkeit.
  • Daraufhin wird das Füllmaterial 206 selektiv in Bezug auf das dielektrische Zwischenschichrtmaterial 221, die Abstandshalterstruktur 263, die Ätzstoppschicht 223 und die Materialien der Gateelektrodenstrukturen 260 entfernt. Dazu können gut etablierte nasschemische Ätzrezepte oder plasmaunterstützte Ätzrezepte angewendet werden. Wenn beispielsweise ein Polysiliziummaterial zu entfernen ist, können TMAH, Kaliumhydroxid und dergleichen effizient als nasschemische Ätzchemien angewendet werden, die ein hohes Maß an Selektivität in Bezug auf andere Materialien des Bauelements 200 besitzen. In anderen Fällen werden, wenn der Ätzwiderstand des Materials 206 absichtlich im Hinblick auf ein spezielles Ätzrezept erhöht wurde, unterschiedliche Chemien oder Ätzparameter so ausgewählt, dass das Füllmaterial 206 effizient entfernt wird. Beispielsweise können gut etablierte Ätzrezepte eingesetzt werden, die zum Entfernen von stark dotiertem Polysiliziummaterial geeignet sind. Nach dem erneuten Öffnen der Kontaktöffnungen 222a wird die wird die weitere Bearbeitung fortgesetzt, indem ein geeignetes Kontaktmaterial aufgebracht wird.
  • 2k zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, sind die Kontaktöffnungen 220a mit einem geeigneten Kontaktmaterial 222c gefüllt, um selbstjustierte Kontaktelemente 222 zu erzeugen. Beispielsweise ist das Kontaktmaterial 222c aus Wolfram möglicherweise in Verbindung mit einem geeigneten Barrierenmaterial, etwa einer Doppelschicht aus Titan und Titannitrid, aufgebaut, während in anderen Fällen ein anderes geeignetes Material, etwa Titan, Titannitrid, in den Kontaktöffnungen 222a vorgesehen wird. Dazu können gut etablierte Abscheidetechniken angewendet werden, um ein geeignetes Barrierenmaterial vorzusehen, woran sich das eigentliche Kontaktmetall anschließt. In anderen anschaulichen Ausführungsformen wird das Kontaktmaterial 222c in Form eines aluminiumenthaltenden Materials vorgesehen, etwa in Form eines im Wesentlichen reinen Aluminiums, einer Aluminiumlegierung und dergleichen, wobei dies vorteilhaft ist im Hinblick auf das Verringern des gesamten Kontaktwiderstands des Bauelements 200.
  • Typischerweise besitzen gut leitende Metalle, etwa Aluminium, Aluminiumlegierungen und dergleichen eine deutlich geringere thermische Stabilität im Vergleich zu Wolframmaterial, wobei dies jedoch mit der Fertigungsphase des Bauelements 200 kompatibel sein kann, da Hochtemperaturprozesse, wie sie typischerweise zur Herstellung von Metallsilizidgebieten 252 und zum geeigneten Einstellen der Austrittsarbeit der diversen Gateelektrodenstrukturen 260 und/oder zum Einstellen der Materialeigenschaften eines dielektrischen Materials mit großem ε erforderlich sind, bereits vor dem Abscheiden des Kontaktmaterials 222c abgeschlossen sind. Bei Bedarf kann selbst ein sehr gut leitendes Material, etwa Kupfer, Silber, und dergleichen effizient verwendet werden, möglicherweise in Verbindung mit geeigneten Barrierenmaterialien, um die Kontaktelemente 222 zu erzeugen.
  • Das Material 222c kann auf der Grundlage einer beliebigen geeigneten Abscheidestrategie, etwa durch chemische Dampfabscheidung, physikalische Dampfabscheidung, elektrochemische Abscheidung, und dergleichen, aufgebracht werden. Daraufhin wird überschüssiges Material effizient entfernt, indem ein Einebnungsprozess, etwa ein CMP-Prozess, ausgeführt wird. Auf diese Weise werden die Kontaktelemente 222 und die Gateelektrodenstrukturen 260 mit gut leitenden Gatematerialien in Form elektrisch isolierter Struktureinheiten bereitgestellt. Daraufhin geht die weitere Bearbeitung weiter, indem ein weiteres dielektrisches Zwischenschichtmaterials abgeschieden und dieses so strukturiert wird, dass Kontakte hergestellt werden, um eine Verbindung zu den Gateelektrodenstrukturen 260 und zu den Kontaktelementen 222 herzustellen.
  • Es gilt also: Die vorliegende Erfindung stellt Fertigungstechniken und Halbleiterbauelemente bereit, in denen selbstjustierte Kontaktelemente auf der Grundlage eines Austauschgateverfahrens hergestellt werden, wobei die Metallgateelektrodenstruktur mit großem ε und Kontaktgebiete vor dem eigentlichen Abscheiden des leitenden Materials der Kontaktelemente bereitgestellt werden. Das Austauschgateverfahren kann auf der Grundlage von Kontaktöffnungen ausgeführt werden, die mit einem geeigneten Opferfüllmaterial gefüllt sind, das für eine verbesserte Prozessrobustheit während des Austauschgateverfahrens sorgt. Andererseits kann das Füllmaterial effizient in Bezug auf die Metallgateelektrodenstruktur mit großem ε entfernt werden, wodurch eine bessere Prozessgleichmäßigkeit und Flexibilität geschaffen werden.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher dient diese Beschreibung lediglich anschaulichen Zwecken und soll dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Erfindung vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren mit: Bilden eines Opferfüllmaterials lateral benachbart zu und über einer Gateelektrodenstruktur, die auf einem aktiven Gebiet eines Halbleiterbauelements ausgebildet ist und ein Platzhaltermaterial aufweist; Freilegen einer Oberfläche des Platzhaltermaterials durch Einebnen des Opferfüllmaterials; Ersetzen des Platzhaltermaterials durch mindestens ein Elektrodenmetall in Anwesenheit des Opferfüllmaterials; Entfernen des Opferfüllmaterials derart, dass ein Kontaktgebiet des aktiven Gebiets freigelegt wird, nachdem das Platzhaltermaterial ersetzt ist; und Bilden eines leitenden Materials auf dem freigelegten Kontaktgebiet derart, dass ein Kontaktelement erzeugt wird.
  2. Verfahren nach Anspruch 1, wobei Ersetzen des Platzhaltermaterials umfasst: Ätzen des Platzhaltermaterials selektiv zu dem Opferfüllmaterial.
  3. Verfahren nach Anspruch 2, wobei das Platzhaltermaterial so hergestellt wird, dass es ein Halbleitermaterial aufweist.
  4. Verfahren nach Anspruch 3, wobei das Bilden des Opferfüllmaterials umfasst: Bilden eines zweiten Halbleitermaterials.
  5. Verfahren nach Anspruch, wobei das Halbleitermaterial so hergestellt wird, dass es Germanium aufweist.
  6. Verfahren nach Anspruch 4, wobei Bilden des Opferfüllmaterials umfasst: Einbauen einer Dotierstoffsorte derart, dass ein Ätzverhalten des Opferfüllmaterials eingestellt wird.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer Metall/Halbleiterverbindung in den Kontaktgebieten vor dem Bilden des Opferfüllmaterials.
  8. Verfahren nach Anspruch 7, das ferner umfasst: Bilden eines dielektrischen Zwischenschichtmaterials über und benachbart zu dem aktiven Gebiet vor dem Bilden des Opferfüllmaterials, und Entfernen des dielektrischen Zwischenschichtmaterials selektiv von dem aktiven Gebiet, um die Metall/Halbleiterverbindung in den Kontaktgebieten zu bilden.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Vorsehen einer Deckschicht in der Gateelektrodenstruktur und Verwenden der Deckschicht als eine schützende Maske, wenn das dielektrische Zwischenschichtmaterial selektiv von dem aktiven Gebiet entfernt wird.
  10. Verfahren nach Anspruch 1, wobei Bilden des Kontaktelements umfasst: Bilden eines Aluminiummaterials über dem Kontaktgebiet.
  11. Verfahren mit: Bilden einer Gateelektrodenstruktur auf einem aktiven Gebiet eines Halbleiterbauelements, wobei die Gateelektrodenstruktur ein halbleiterenthaltendes Platzhaltermaterial aufweist; Bilden eines dielektrischen Zwischenschichtmaterials über und benachbart zu dem aktiven Gebiet; Entfernen des dielektrischen Zwischenschichtmaterials selektiv von oberhalb zumindest eines Teils des aktiven Gebiets derart, dass Kontaktöffnungen lateral benachbart zu der Gateelektrodenstruktur entstehen; Bilden eines halbleiterenthaltenden Opferfüllmaterials in den Kontaktöffnungen; und Ersetzen des Platzhaltermaterials der Gateelektrodenstruktur durch mindestens ein metallenthaltendes Elektrodenmaterial in Anwesenheit des Opferfüllmaterials.
  12. Verfahren nach Anspruch 11, wobei Ersetzen des Platzhaltermaterials umfasst: Einebnen des dielektrischen Zwischenschichtmaterials und eines Teils der Gateelektrodenstruktur derart, dass eine obere Oberfläche des Platzhaltermaterials freigelegt wird.
  13. Verfahren nach Anspruch 12, wobei Ersetzen des Platzhaltermaterials ferner umfasst: Ausführen eines Ätzprozesses ohne Maskierung des Opferfüllmaterials.
  14. Verfahren nach Anspruch 11, das ferner umfasst: Bilden einer Metall/Halbleiterverbindung in dem aktiven Gebiet durch die Kontaktöffnungen hindurch vor dem Bilden des Opferfüllmaterials.
  15. Verfahren nach Anspruch 11, das ferner umfasst: Entfernen des Opferfüllmaterials aus den Kontaktöffnungen selektiv in Bezug auf das metallenthaltende Elektrodenmaterial und Bilden eines leitenden Materials in den Kontaktöffnungen, so dass Kontaktelemente entstehen.
  16. Verfahren nach Anspruch 15, wobei Bilden des Kontaktelements umfasst: Bilden eines Aluminiummaterials in den Kontaktöffnungen.
  17. Verfahren nach Anspruch 11, wobei das Platzhaltermaterial als eine Silizium/Germanium-Mischung gebildet wird.
  18. Verfahren nach Anspruch 11, wobei das Opferfüllmaterial in Form eines Siliziummaterials bereitgestellt wird.
  19. Halbleiterbauelement mit: mehreren Gateelektrodenstrukturen, die auf einem aktiven Gebiet ausgebildet sind, wobei jede der mehreren Gateelektrodenstrukturen ein dielektrisches Material mit großem ε, ein Elektrodenmetall und eine dielektrische Seitenwandabstandshalterstruktur aufweist; einem Kontaktelement, das lateral zwischen zwei der mehreren Gateelektrodenstrukturen ausgebildet ist und eine Verbindung zu dem aktiven Gebiet herstellt, wobei das Kontaktelement in einer Längsrichtung durch die dielektrischen Abstandshalterstrukturen begrenzt ist und ein Metall mit einer thermischen Stabilität aufweist, die geringer ist als eine thermische Stabilität von Wolfram.
  20. Halbleiterbauelement nach Anspruch 19, wobei das Kontaktelement Aluminium und/oder Kupfer und/oder Silber aufweist.
DE102011090163.9A 2011-09-23 2011-12-30 Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür Expired - Fee Related DE102011090163B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/241,915 2011-09-23
US13/241,915 US8846513B2 (en) 2011-09-23 2011-09-23 Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill

Publications (2)

Publication Number Publication Date
DE102011090163A1 true DE102011090163A1 (de) 2013-03-28
DE102011090163B4 DE102011090163B4 (de) 2017-06-08

Family

ID=47827796

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102011090163.9A Expired - Fee Related DE102011090163B4 (de) 2011-09-23 2011-12-30 Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür

Country Status (2)

Country Link
US (1) US8846513B2 (de)
DE (1) DE102011090163B4 (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9716037B2 (en) * 2011-12-22 2017-07-25 Intel Corporation Gate aligned contact and method to fabricate same
US8936979B2 (en) * 2012-06-11 2015-01-20 GlobalFoundries, Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
US8921136B2 (en) * 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US8884344B2 (en) * 2013-03-08 2014-11-11 International Business Machines Corporation Self-aligned contacts for replacement metal gate transistors
US9129986B2 (en) * 2013-06-28 2015-09-08 Globalfoundries Inc. Spacer chamfering for a replacement metal gate device
US9805934B2 (en) * 2013-11-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of contact/via hole with self-alignment
US9871037B2 (en) * 2014-02-26 2018-01-16 Taiwan Semiconductor Manufacturing Company Limited Structures and methods for fabricating semiconductor devices using fin structures
US9680439B2 (en) * 2014-03-26 2017-06-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating acoustic resonator with planarization layer
US9466723B1 (en) * 2015-06-26 2016-10-11 Globalfoundries Inc. Liner and cap layer for placeholder source/drain contact structure planarization and replacement
KR102302073B1 (ko) * 2015-07-28 2021-09-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10211100B2 (en) * 2017-03-27 2019-02-19 Globalfoundries Inc. Methods of forming an air gap adjacent a gate of a transistor and a gate contact above the active region of the transistor
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US9922832B1 (en) * 2017-06-21 2018-03-20 United Microelectronics Corp. Manufacturing method of semiconductor structure
US11114347B2 (en) 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10283417B1 (en) * 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10388770B1 (en) 2018-03-19 2019-08-20 Globalfoundries Inc. Gate and source/drain contact structures positioned above an active region of a transistor device
US11031295B2 (en) 2019-06-03 2021-06-08 International Business Machines Corporation Gate cap last for self-aligned contact
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US11211471B1 (en) * 2020-09-10 2021-12-28 United Microelectronics Corp. Method of manufacturing a semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072221A (en) * 1997-06-30 2000-06-06 Kabushiki Kaisha Toshiba Semiconductor device having self-aligned contact plug and metallized gate electrode
US20040115890A1 (en) * 2002-10-28 2004-06-17 Tomohiro Saito Semiconductor device having low resistivity source and drain electrodes
US20050266694A1 (en) * 2004-05-27 2005-12-01 Brask Justin K Controlling bubble formation during etching
US20110068411A1 (en) * 2009-09-22 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Block Contact Plugs for MOS Devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
CN102569083B (zh) * 2010-12-23 2014-12-24 中芯国际集成电路制造(上海)有限公司 具有高k金属栅极的金属氧化物半导体的形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072221A (en) * 1997-06-30 2000-06-06 Kabushiki Kaisha Toshiba Semiconductor device having self-aligned contact plug and metallized gate electrode
US20040115890A1 (en) * 2002-10-28 2004-06-17 Tomohiro Saito Semiconductor device having low resistivity source and drain electrodes
US20050266694A1 (en) * 2004-05-27 2005-12-01 Brask Justin K Controlling bubble formation during etching
US20110068411A1 (en) * 2009-09-22 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Block Contact Plugs for MOS Devices

Also Published As

Publication number Publication date
US8846513B2 (en) 2014-09-30
DE102011090163B4 (de) 2017-06-08
US20130075821A1 (en) 2013-03-28

Similar Documents

Publication Publication Date Title
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102011004322B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102011004323B4 (de) Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
DE102010028460B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102010001403B4 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102009055437B4 (de) Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen auf einer geringeren Höhe hergestellt sind und Verfahren zur Herstellung der Halbleiterwiderstände
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102010063296B4 (de) Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102010029527A1 (de) Selbstjustierender Transistor mit Mehrfachgate, der auf einem Vollsubstrat ausgebildet ist
DE102010030756B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε auf der Grundlage eines nicht-konformen Zwischenschichtdielektrikums
DE102009046245A1 (de) Metallgateelektrodenstrukturen mit großem ε, die durch eine separate Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart hergestellt sind
DE102012205298B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε unter Anwendung einer Mehrschichtkontaktebene und Halbleiterbauelement
DE102009039522B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit vergrabener Ätzstoppschicht in Grabenisolationsstrukturen für eine bessere Oberflächenebenheit in dicht gepackten Halbleiterbauelementen
DE102009055435A1 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102011004922B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgatestapeln mit erhöhter Integrität
DE102011003232A1 (de) Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind
DE102010003451B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
DE102010064288A1 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee