JP2010027904A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2010027904A
JP2010027904A JP2008188489A JP2008188489A JP2010027904A JP 2010027904 A JP2010027904 A JP 2010027904A JP 2008188489 A JP2008188489 A JP 2008188489A JP 2008188489 A JP2008188489 A JP 2008188489A JP 2010027904 A JP2010027904 A JP 2010027904A
Authority
JP
Japan
Prior art keywords
film
oxide film
forming
trench
sod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2008188489A
Other languages
English (en)
Inventor
Toshiya Nakamori
俊也 中森
Yutaka Kujirai
裕 鯨井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2008188489A priority Critical patent/JP2010027904A/ja
Priority to US12/497,315 priority patent/US8173515B2/en
Publication of JP2010027904A publication Critical patent/JP2010027904A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment

Abstract

【課題】固定電荷等の影響がなく電気的特性の優れた良質なSOD単層膜を備えた、微細LSIプロセス用の素子分離領域を備えた半導体装置を提供する。
【解決手段】半導体基板内のトレンチの内壁上に酸化膜およびライナー膜を形成する膜形成工程と、トレンチ内にSOD膜を埋設して熱処理を行う工程と、SOD膜と接するライナー膜の一部を除去してSOD膜の一部を露出させる除去工程と、SOD膜に対して熱処理を行う熱処理工程と、トレンチ内に絶縁膜を埋設させることにより素子分離領域を形成する埋設工程と、を有する半導体装置の製造方法。
【選択図】図11

Description

本発明は、素子分離領域を備えた半導体装置の製造方法に関する。
従来から、半導体装置を構成する複数の素子間の分離に素子分離領域が用いられている。この素子分離領域としては、トレンチを設けた後、このトレンチ内に絶縁材料を埋設したSTI(Shallow Trench Isolation)構造のものが知られている。
このSTI構造の素子分離領域において、トレンチ内に絶縁材料を埋設する方法の検討が行なわれている。
特許文献1(特開2008−10724号公報)には、トレンチ内にHDP法(High Density Plasma Method;高密度プラズマ法)を用いた酸化膜(以下、「HDP酸化膜」と記載する)を埋設する方法が開示されている。
特許文献2(特開2006−269789号公報)には、HDP酸化膜/SOD(Spin on Dielectric)膜のハイブリッド構造を有する素子分離領域が開示されている。
しかしながら、60nm以下の微細LSIプロセスにおいては、トレンチ内にボイドの無いHDP酸化膜を形成することは非常に困難である。また、トレンチ内にボイドがあるHDP酸化膜を埋設させた状態で、ゲート電極材料であるポリシリコンを堆積すると、このボイド内にもポリシリコンが入り込み、ゲート電極材料の加工時にも、ボイド内のポリシリコンは残留することとなる。このため、このボイド内に残留したポリシリコンにより、ゲートショート不良が発生してしまう。
そこで、微細LSIプロセスにおいては、埋設性の高いSOD単層膜が必要となる。非特許文献1(J.H.Heo et al.,“The P−SOG Filling Shallow Trench Isolation Technology for sub−70nm Device,”2003 Symposium on VLSI Technology)には、埋設性の高いSOD単層膜の形成方法が開示されている。
特開2008−10724号公報 特開2006−269789号公報 J.H.Heo et al.,“The P−SOG Filling Shallow Trench Isolation Technology for sub−70nm Device,”2003 Symposium on VLSI Technology
しかしながら、上述した従来の製造方法では、素子分離領域の幅が小さくSOD膜の改質時に体積収縮が起こりにくいため、SOD膜の緻密化が不十分となってしまうことを本願発明者らは発見した。このように緻密化が不十分なSOD膜はエッチング耐性が低いため、後の工程でシリコン酸化膜をウエットエッチングする際に、SOD膜内に空隙が生じる場合がある。この場合、後の工程でゲート電極材料であるポリシリコンを堆積させる際に、この空隙内にポリシリコンが入り込み、ゲート電極材料の加工時にも除去されずに空隙内に残留してゲートショート不良の原因となる問題がある。また、固定電荷等の影響が生じるなど、SOD膜の電気的特性が低下する場合がある。
本発明の一実施形態は、
半導体基板上にマスクパターンを形成する工程と、
前記マスクパターンをマスクに用いて、前記半導体基板をエッチングすることによりトレンチを形成する工程と、
前記トレンチの内壁上に酸化膜を形成する工程と、
前記トレンチの内壁上の酸化膜を覆うようにライナー膜を形成する膜形成工程と、
前記トレンチ内にSOD(spin on dielectric)酸化膜を埋設する工程と、
前記マスクパターンと、前記SOD酸化膜に接するライナー膜の一部と、を除去して前記SOD酸化膜の一部を露出すると共に、前記トレンチ内に空隙を形成する除去工程と、
前記SOD酸化膜に対して熱処理を行う熱処理工程と、
前記トレンチ内の空隙に絶縁膜を埋設することにより素子分離領域を形成する埋設工程と、
を有する半導体装置の製造方法に関する。
また、本発明の他の実施形態は、
半導体基板上にマスク膜を形成する工程と、
前記マスク膜をパターニングすることによってマスクパターンを形成する工程と、
前記マスクパターンをマスクに用いて、前記半導体基板をエッチングすることによりトレンチを形成する工程と、
前記トレンチの内壁を熱酸化することにより酸化膜を形成する工程と、
前記トレンチの内壁上の酸化膜を覆うようにライナー膜を形成する膜形成工程と、
全面にSOD(spin on dielectric)膜を堆積させた後、熱処理を行うことによってSOD酸化膜とする工程と、
下記工程(1)または(2)によって前記SOD酸化膜を加工することにより前記トレンチ内に所定の高さのSOD酸化膜を形成する工程と、
(1)前記マスクパターンをストッパに用いて前記SOD酸化膜に対してCMP処理を行なった後、前記SOD酸化膜のエッチングバックを行う工程、
(2)前記SOD酸化膜のエッチングバックを行う工程、
前記マスクパターンと、前記SOD酸化膜に接するライナー膜の一部と、を除去して前記SOD酸化膜の一部を露出すると共に、前記トレンチ内に空隙を形成する除去工程と、
前記SOD酸化膜に対して熱処理を行う熱処理工程と、
前記トレンチ内の空隙に絶縁膜を埋設することにより素子分離領域を形成する埋設工程と、
を有する半導体装置の製造方法に関する。
本発明の他の実施形態は、
半導体基板内にトレンチを形成する工程と、
前記トレンチの内壁上に酸化膜を形成する工程と、
前記トレンチの内壁上の酸化膜を覆うようにライナー膜を形成する膜形成工程と、
前記トレンチ内にSOD(spin on dielectric)酸化膜を埋設する工程と、
前記SOD酸化膜と接するライナー膜の一部を除去して前記SOD酸化膜の一部を露出すると共に、前記トレンチ内に空隙を形成する除去工程と、
前記SOD酸化膜に対して熱処理を行う熱処理工程と、
前記トレンチ内の空隙に絶縁膜を埋設することにより素子分離領域を形成する埋設工程と、
を有する半導体装置の製造方法に関する。
なお、本明細書において、「SOD酸化膜」とは、スピンコーティング法またはスプレーコーティング法などの回転塗布法により、誘電体材料を含有する溶液を塗布してSOD膜を得た後、熱処理を行うことによって、このSOD膜を酸化した膜のことを表わす。
上記各実施形態では、ライナー膜が除去されたことにより、SOD酸化膜の一部の側面が露出してその表面積が増加するため、熱処理によるSOD酸化膜の緻密化を促進させることができる。この結果、素子分離領域内に生じた空隙にゲート電極材料であるポリシリコンが入り込み、ゲート電極材料の加工時に除去されずに残ることによるゲートショート不良を低減することができる。また、SOD酸化膜の緻密化を促進することにより、固定電荷等の影響がなく電気的特性の優れた良質な膜とすることができる。
エッチング耐性に優れエッチング時に空隙が生じることがなく、また、固定電荷等の影響がなく電気的特性の優れたSOD酸化膜を有する、素子分離領域を備えた半導体装置を提供することができる。
以下に、図面を参照して、半導体装置の製造方法を説明する。なお、これらの実施例は、本発明のより一層の深い理解のために示される具体例であって、本発明は、これらの具体例に何ら限定されるものではない。
(第1実施例)
まず、図1に示すように、シリコン基板5上にパッド酸化膜としてシリコン熱酸化膜3を形成し、その後、シリコン酸化膜3上にフィールド形成時にハードマスクとなるシリコン窒化膜6を形成する。このシリコン酸化膜3の膜厚は例えば5nm〜15nmであり、好ましくは9nmである。シリコン窒化膜6の膜厚は例えば50m〜200nmであり、好ましくは120nmである。
次に、図2に示すように、通常のリソグラフィプロセスによってシリコン窒化膜6およびパッド酸化膜3を加工して、シリコンエッチング時のハードマスクを形成する。このとき、オーバーエッチングが行われるため、シリコン基板5も若干エッチングされる。
次に、図3に示すように、シリコン窒化膜6をハードマスクとしてシリコン基板5に深さ約200nmのSTI用トレンチ7を形成する。このとき、シリコン窒化膜6の上面が40nm程度エッチングされる。その後、熱酸化によって、STIトレンチ7の側面および底面にシリコン熱酸化膜3を形成する。このシリコン酸化膜3の膜厚は例えば3〜15nmであり、好ましくは8nmである。このとき、ハードマスクであるシリコン窒化膜6も3nm程度、酸化される。
次に、図4に示すように、ハードマスクであるシリコン窒化膜6上のシリコン酸化膜3をウエットエッチングにより除去する。この理由は、熱リン酸でウエットエッチングを行うことによってハードマスクであるシリコン窒化膜6を除去する際に側面のシリコン酸化膜3が残り、汚染となってしまうのを防ぐためである。このとき、STIトレンチ7の側面および底面のシリコン酸化膜3も若干エッチングされるが、十分な膜厚を有しているため残存させることができる。
次に、図5に示すように、STIトレンチ7およびシリコン窒化膜6を覆うようにライナー窒化膜4を形成する。このライナー窒化膜4は、ハードマスクであるシリコン窒化膜6の除去時に熱リン酸によってエッチングされるために十分な膜厚を有している必要がある。ライナー窒化膜4の厚さは例えば、3〜15nmであり、好ましくは8nmである。
次に、図6に示すように、スピンコーティングまたはスプレーコーティング法を用いてポリシラザン膜(SOD膜に相当する)を形成する。その後、ポリシラザン膜が形成されたシリコン基板5を150℃のホットプレート上で約3分間ベークすることにより、溶液中の溶媒を揮発させる。続いて、400℃〜1100℃の水蒸気雰囲気中で熱処理を行う。この熱処理によってポリシラザン膜中のSi−N結合のほとんどがSi−O結合に置換され、SOD酸化膜1(シリコン酸化膜に相当する)が得られるが、反応は表面から起こるため、STIトレンチ7内部のSOD酸化膜1では緻密化が不十分である。
次に、図7に示すように、ハードマスクであるシリコン窒化膜6をストッパとして、CMP(Chemical Mechanical Polishing)プロセスによりSOD酸化膜1を研磨して、STIトレンチ7にSOD酸化膜1を残存させる。
続いて、図8に示すように、ウエットエッチングまたはドライエッチングにより、STIトレンチ7内において所望の高さまでシリコン酸化膜(SOD膜)1をエッチバックする。なお、他の実施例においては、CMPを行わずにウエットエッチングまたはドライエッチングのみでSOD酸化膜1をエッチバックしてもよく、CMP後またはエッチバック後に、更に熱処理を行うことによってSOD酸化膜1の緻密化を行ってもよい。
次に、図9に示すように、ハードマスクであるシリコン窒化膜6を除去するため熱リン酸によるウエットエッチングを行う。このとき、ライナー窒化膜4は十分厚いため、熱リン酸が入り込んでエッチングされる。例えば、熱リン酸の温度は140℃、ウエットエッチング時間は90分であり、このときライナー窒化膜4はSTIトレンチ7の上端部より約150nm、エッチングされる。本実施例では、ハードマスクであるシリコン窒化膜6とライナー窒化膜4を同時に熱リン酸によって除去しているが、リセス量を調整するためにライナー膜4の除去は別途行なってもよい。本発明の適応可能なライナー膜4のリセス量は、ALD−SiNによって埋め戻すことが可能な範囲の深さであり、アスペクト比10程度の深さまでライナー膜4を除去することができる。
次に、700℃〜1100℃の窒素雰囲気中で60分間熱処理を行う。このとき、ライナー窒化膜4がリセスしているためSTI側壁のSOD酸化膜1が露出しており、表面積が増加しているため、熱処理によるSOD酸化膜1の緻密化が促進される。その結果、ウエットエッチング液、例えば、BHF(Buffered HF)によるエッチングレートを、従来のものに対して約50%低減することができる。
次に、図10および図11に示すように、ライナー窒化膜4のリセスを埋設するためにALD(Atomic Layer Deposition Method;原子層堆積法)法により、SiN膜2を堆積後、エッチバックする。これにより、リセスしていた部分が埋め戻されるため、段差が少なくなりゲート間ショートの不良を低減することができる。
本実施例では、ライナー膜4をSTIトレンチ7の上部よりもリセスさせた状態で熱処理を行う。このようにライナー膜4が除去されたことにより、SOD酸化膜1の一部の側面が露出してその表面積が増加するため、熱処理によるSOD酸化膜1の緻密化を促進させることができる。また、この熱処理後にカバレッジ性の高い絶縁膜、例えば、ALD (Atomic Layer Deposition)−SiNを堆積、エッチバックを行うことでリセス部を埋設することができる。この結果、素子分離領域内に生じた空隙にゲート電極材料が入り込み、後の加工工程においてゲート電極材料が除去されずに残ることによるゲートショート不良を低減することができる。また、SOD酸化膜1の緻密化が進むことにより、固定電荷等の影響がなく電気的特性の優れた良質なシリコン酸化膜とすることができる。
(第2実施例)
上記第1実施例では、SOD酸化膜1の最終的な熱処理を、窒素雰囲気中で行っている。ここで、電気的特性面から考えると、SOD酸化膜1中の固定電荷等の対策として熱処理は水蒸気雰囲気中で行った方が良い。しかし、第1実施例の図9のように、ライナー膜4をリセスした状態でSOD酸化膜1の水蒸気酸化を行なった場合、シリコン基板5が酸化されてしまうという問題が生じる。そこで、以下の第2実施例では、シリコン基板5の酸化を抑制するためにライナー膜4の構造を変えた半導体装置の製造方法を説明する。
第1実施例の図2〜4と同様に、STIトレンチ7を形成、内壁酸化を行い、ハードマスクであるシリコン窒化膜6上のシリコン酸化膜3をウエットエッチングによって除去する。この後、図12に示すように、STIトレンチ7およびシリコン窒化膜6を覆うように酸化を抑制するためのライナー窒化膜4aを形成する。このライナー窒化膜4aは、熱リン酸が入り込み、かつエッチングされない厚さとすることが好ましく、例えば1nm〜4nmであり、好ましくは3nmである。
次に、図13に示すように、熱リン酸処理時にライナー窒化膜4aを保護するため、CVD(Chemical Vapor Deposition)法によってシリコン酸化膜3(ライナー膜の一部に相当する)を形成する。このシリコン酸化膜3の厚さは例えば3〜8nmであり、好ましくは5nmである。
次に、図14に示すように、熱リン酸によってリセスが形成されるために十分に厚いライナー窒化膜4bを形成する。このライナー膜4bの膜厚は第1実施例と同様に、例えば8nmとすることができる。
次に、図15に示すように、スピンコーティングまたはスプレーコーティング法を用いてポリシラザン膜(SOD膜に相当する)を形成する。次に、第1実施例と同様、150℃のホットプレート上で約3分間、ベークした後、400℃〜1100℃の水蒸気雰囲気中で熱処理を行い、SOD酸化膜1(シリコン酸化膜に相当する)を形成する。
次に、図16に示すように、ライナー窒化膜4bをストッパとして、CMPプロセスによりSOD酸化膜1を研磨してSTIトレンチ7内にのみSOD酸化膜1を残存させる。続いて、図17に示すように、SOD酸化膜1をエッチバックする。このエッチバックの方法は、第1実施例と同様の方法を用いることができる。
次に、図18および図19に示すように、厚いライナー窒化膜4bおよびCVDによるシリコン酸化膜3をウエットエッチングによって除去する。
次に、図20に示すように、熱リン酸によるウエットエッチングを行い、ハードマスクであるシリコン窒化膜6および厚いライナー窒化膜4bを除去する。このエッチングの方法は第1実施例と同様の方法を用いることができる。続いて、800〜1000℃の水蒸気雰囲気中で熱処理を行う。この時間は、例えば30〜60分である。
最後に図21および図22に示すように、ライナー窒化膜のリセスを埋設するためにALD−SiNを堆積後、エッチバックする。
本実施例では、ライナー膜を含む構造がシリコン窒化膜(厚)/シリコン酸化膜/シリコン窒化膜(薄)の積層構造になっている。このシリコン窒化膜(厚)はエッチング液が入り込みリセスする厚さであり、シリコン窒化膜(薄)はエッチング液が入り込まない厚さとなるように形成する。このため、酸化雰囲気中でのポリシラザン膜の熱処理時にシリコン基板が酸化されるのを防止することができる。この結果、より効果的にポリシラザン膜の緻密化を行うことができ、より電気的特性の優れた良質なシリコン酸化膜とすることができる。
(第3実施例)
図23は、素子分離領域を備えたDRAMのメモリセル部を表わす平面図である。図23において、半導体基板上には、複数の活性領域204が規則正しく配置されている。これらの活性領域204は素子分離領域203により区画されている。これらの素子分離領域203は、上記第1実施例や第2実施例などの方法により形成されている。
また、この活性領域204と交差するように複数のワード線206が形成されている。また、活性領域204のワード線で覆われていない領域にはリン等の不純物がイオン注入されており、拡散層領域を形成している。この拡散層領域は、トランジスタのソース・ドレイン領域として機能する。
また、図23の破線Cで囲んだ部分が1つのトランジスタを形成している。また、他の活性領域204についても同様である。なお、本実施例では、図23に示したようにワード線206と活性領域204が斜めに交差するようなレイアウトとなっているが、ワード線206と活性領域204が直交するレイアウトすることもでき、この場合であっても製造工程において何ら不具合は生じない。
各活性領域204の中央部には、第1コンタクトプラグ207が設けられ、活性領域204表面の拡散層領域と接触している。また、各活性領域204の両端には、第2コンタクトプラグ208、209が設けられ、活性領域204表面の拡散層領域と接触している。第1及び2コンタクトプラグ207、208、209については、説明のため異なる項目番号としたが、実際の製造に際しては同時に形成することが可能である。
また、このレイアウトでは、メモリセルを高密度に配置するために、隣接する2つのトランジスタにおいて、1つの第1コンタクトプラグ207を共有するように配置されている。
後の工程において、第1コンタクトプラグ207と接触し、ワード線206と直交する、G−G’線で示した方向に配線層(図示せず)が形成される。この配線層はDRAMのビット線として機能する。また、第2コンタクトプラグ208、209にはそれぞれ、キャパシタ素子(図示せず)が接続される。
完成したDRAMのメモリセル断面図を図24に示す。図24は、図23のE−E’部における断面に対応している。図24で、200は半導体基板、201は電界効果型トランジスタで、詳細な構造は先に説明したので省略する。また、206はワード線である。
活性領域204の表面部分には拡散層領域205が形成されており、第1及び第2コンタクトプラグ207、208、209と接触している。この第1及び第2コンタクトプラグ207、208、209の材料としては、リンを導入した多結晶シリコンを用いることができる。210はトランジスタ上に設けられた層間絶縁膜である。第1コンタクトプラグ207は、第1コンタクトプラグ211を介して、ビット線として機能する配線層212に接続している。配線層212の材料としてはタングステンを用いることができる。
また、第2コンタクトプラグ208と209はそれぞれ、第2コンタクトプラグ215、214を介してキャパシタ素子217と接続している。213、216、218は、それぞれ各配線間を絶縁するための層間絶縁膜である。キャパシタ素子217は、公知の手段により、2つの電極間に酸化ハフニウム(HfO)等の絶縁膜を挟んで形成されている。219はアルミ等を用いて形成された、上層に位置する配線層で、220は表面保護膜である。
トランジスタ201をオン状態にすることで、キャパシタ素子217に蓄積した電荷の有無の判定を、ビット線(配線層212)を介して行うことができ、情報の記憶動作を行うことが可能なDRAMのメモリセルとして動作させることができる。
本実施例の半導体装置は、第1又は第2実施例のようにして素子分離領域を形成した後、素子分離領域間の半導体基板内及びこの上にメモリセルを形成することによって形成することができる。また、このメモリセルは、半導体基板内及びこの上に電界効果型トランジスタを形成する工程と、電界効果型トランジスタのソースおよびドレイン領域のうち何れか一方と電気的に接続されるようにキャパシタを形成する工程と、によって形成することができる。電界効果型トランジスタおよびキャパシタは、公知の方法を用いて形成することができる。
第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第1実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第2実施例の半導体装置の製造方法の一工程を表わす図面である。 第3実施例の半導体装置を表わす図面である。 第3実施例の半導体装置を表わす図面である。
符号の説明
1 SOD酸化膜
2 SiN膜
3 SiO2
4、4a、4b SiN膜
5 シリコン半導体基板
6 SiN膜
7 トレンチ
203 素子分離領域
204 活性領域
205 ソース・ドレイン領域用の不純物拡散層
206 ゲートトレンチ
207、211 第1コンタクトプラグ
208,209、214、215 第2コンタクトプラグ
210、213、216、218 層間絶縁膜
212 配線層
217 キャパシター素子
219 配線層
220 表面保護膜

Claims (11)

  1. 半導体基板上にマスクパターンを形成する工程と、
    前記マスクパターンをマスクに用いて、前記半導体基板をエッチングすることによりトレンチを形成する工程と、
    前記トレンチの内壁上に酸化膜を形成する工程と、
    前記トレンチの内壁上の酸化膜を覆うようにライナー膜を形成する膜形成工程と、
    前記トレンチ内にSOD(spin on dielectric)酸化膜を埋設する工程と、
    前記マスクパターンと、前記SOD酸化膜に接するライナー膜の一部と、を除去して前記SOD酸化膜の一部を露出すると共に、前記トレンチ内に空隙を形成する除去工程と、
    前記SOD酸化膜に対して熱処理を行う熱処理工程と、
    前記トレンチ内の空隙に絶縁膜を埋設することにより素子分離領域を形成する埋設工程と、
    を有する半導体装置の製造方法。
  2. 半導体基板上にマスク膜を形成する工程と、
    前記マスク膜をパターニングすることによってマスクパターンを形成する工程と、
    前記マスクパターンをマスクに用いて、前記半導体基板をエッチングすることによりトレンチを形成する工程と、
    前記トレンチの内壁を熱酸化することにより酸化膜を形成する工程と、
    前記トレンチの内壁上の酸化膜を覆うようにライナー膜を形成する膜形成工程と、
    全面にSOD(spin on dielectric)膜を堆積させた後、熱処理を行うことによってSOD酸化膜とする工程と、
    下記工程(1)または(2)によって前記SOD酸化膜を加工することにより前記トレンチ内に所定の高さのSOD酸化膜を形成する工程と、
    (1)前記マスクパターンをストッパに用いて前記SOD酸化膜に対してCMP処理を行なった後、前記SOD酸化膜のエッチングバックを行う工程、
    (2)前記SOD酸化膜のエッチングバックを行う工程、
    前記マスクパターンと、前記SOD酸化膜に接するライナー膜の一部と、を除去して前記SOD酸化膜の一部を露出すると共に、前記トレンチ内に空隙を形成する除去工程と、
    前記SOD酸化膜に対して熱処理を行う熱処理工程と、
    前記トレンチ内の空隙に絶縁膜を埋設することにより素子分離領域を形成する埋設工程と、
    を有する半導体装置の製造方法。
  3. 半導体基板内にトレンチを形成する工程と、
    前記トレンチの内壁上に酸化膜を形成する工程と、
    前記トレンチの内壁上の酸化膜を覆うようにライナー膜を形成する膜形成工程と、
    前記トレンチ内にSOD(spin on dielectric)酸化膜を埋設する工程と、
    前記SOD酸化膜と接するライナー膜の一部を除去して前記SOD酸化膜の一部を露出すると共に、前記トレンチ内に空隙を形成する除去工程と、
    前記SOD酸化膜に対して熱処理を行う熱処理工程と、
    前記トレンチ内の空隙に絶縁膜を埋設することにより素子分離領域を形成する埋設工程と、
    を有する半導体装置の製造方法。
  4. 前記ライナー膜が、シリコン窒化膜であることを特徴とする請求項1〜3の何れか1項に記載の半導体装置の製造方法。
  5. 前記ライナー膜の膜厚が3〜15nmであることを特徴とする請求項4に記載の半導体装置の製造方法。
  6. 前記熱処理工程を、700〜1100℃の窒素雰囲気中で30〜60分間、行うことを特徴とする請求項4又は5に記載の半導体装置の製造方法。
  7. 前記膜形成工程において、
    前記ライナー膜として、前記半導体基板側から順に第1のシリコン窒化膜、シリコン酸化膜および第2のシリコン窒化膜を形成し、
    前記除去工程において、
    前記SOD酸化膜と接するライナー膜として第2のシリコン窒化膜の一部を除去することを特徴とする請求項1〜3の何れか1項に記載の半導体装置の製造方法。
  8. 第1のシリコン窒化膜の膜厚が1〜4nmであり、第2のシリコン窒化膜の膜厚が3〜15nmであることを特徴とする請求項7に記載の半導体装置の製造方法。
  9. 前記熱処理工程を、800〜1000℃の水蒸気雰囲気中で30〜60分間、行うことを特徴とする請求項7又は8に記載の半導体装置の製造方法。
  10. 前記埋設工程において、ALD法(Atomic Layer Deposition Method)により前記絶縁膜の埋設を行うことを特徴とする請求項1〜9の何れか1項に記載の半導体装置の製造方法。
  11. 前記埋設工程の後に更に、
    前記素子分離領域間の半導体基板内及びこの上に電界効果型トランジスタを形成する工程と、
    前記電界効果型トランジスタのソース領域およびドレイン領域のうち何れか一方と電気的に接続するようにキャパシタを形成する工程と、
    を有することを特徴とする請求項1〜10の何れか1項に記載の半導体装置の製造方法。
JP2008188489A 2008-07-22 2008-07-22 半導体装置の製造方法 Ceased JP2010027904A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008188489A JP2010027904A (ja) 2008-07-22 2008-07-22 半導体装置の製造方法
US12/497,315 US8173515B2 (en) 2008-07-22 2009-07-02 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008188489A JP2010027904A (ja) 2008-07-22 2008-07-22 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2010027904A true JP2010027904A (ja) 2010-02-04

Family

ID=41569022

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008188489A Ceased JP2010027904A (ja) 2008-07-22 2008-07-22 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US8173515B2 (ja)
JP (1) JP2010027904A (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8642441B1 (en) 2006-12-15 2014-02-04 Spansion Llc Self-aligned STI with single poly for manufacturing a flash memory device
JP2010027904A (ja) * 2008-07-22 2010-02-04 Elpida Memory Inc 半導体装置の製造方法
KR20110003191A (ko) * 2009-07-03 2011-01-11 삼성전자주식회사 소자 분리막 및 반도체 소자의 형성 방법
US20110014726A1 (en) * 2009-07-20 2011-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming shallow trench isolation structure
KR20120091567A (ko) * 2011-02-09 2012-08-20 삼성전자주식회사 소자 분리막 구조물 형성 방법
US8673738B2 (en) * 2012-06-25 2014-03-18 International Business Machines Corporation Shallow trench isolation structures
US9012282B2 (en) * 2013-03-13 2015-04-21 Macronix International Co., Inc. Self-aligned liner method of avoiding PL gate damage
US20150087134A1 (en) * 2013-09-20 2015-03-26 Globalfoundries Inc. Semiconductor isolation region uniformity
ES2901500T3 (es) 2017-07-14 2022-03-22 Behr Hella Thermocontrol Gmbh Dispositivo de visualización con un sistema de sensores de proximidad de funcionamiento óptico integrado
KR20230069477A (ko) * 2021-11-12 2023-05-19 삼성전자주식회사 콘택 플러그를 포함하는 반도체 소자

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07221058A (ja) * 1994-01-31 1995-08-18 Sony Corp 平坦化方法および研磨装置
JPH0846029A (ja) * 1994-06-29 1996-02-16 Internatl Business Mach Corp <Ibm> 分離部材を形成する方法
JP2004179614A (ja) * 2002-10-02 2004-06-24 Toshiba Corp 半導体装置の製造方法
JP2004311487A (ja) * 2003-04-02 2004-11-04 Hitachi Ltd 半導体装置の製造方法
JP2007005438A (ja) * 2005-06-22 2007-01-11 Toshiba Corp 半導体装置の製造方法
JP2007220739A (ja) * 2006-02-14 2007-08-30 Sony Corp 半導体装置及びその製造方法並びに酸窒化シリコン膜の形成方法
JP2007258266A (ja) * 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
KR100843246B1 (ko) * 2007-05-22 2008-07-02 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
JP2008305974A (ja) * 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5387540A (en) * 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
KR100286736B1 (ko) * 1998-06-16 2001-04-16 윤종용 트렌치 격리 형성 방법
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6100163A (en) * 1999-01-07 2000-08-08 Taiwan Semiconductor Manufacturing Company Gap filling of shallow trench isolation by ozone-tetraethoxysilane
US6255194B1 (en) * 1999-06-03 2001-07-03 Samsung Electronics Co., Ltd. Trench isolation method
KR100386946B1 (ko) * 2000-08-01 2003-06-09 삼성전자주식회사 트렌치 소자 분리형 반도체 장치의 형성방법
JP2002313905A (ja) * 2001-04-12 2002-10-25 Mitsubishi Electric Corp 半導体装置の製造方法
KR100403627B1 (ko) * 2001-05-08 2003-10-30 삼성전자주식회사 트랜치 소자분리 방법
US6436762B1 (en) * 2001-05-14 2002-08-20 Taiwan Semiconductor Manufactoring Company Method for improving bit line to capacitor electrical failures on DRAM circuits using a wet etch-back to improve the bit-line-to-capacitor overlay margins
DE10222083B4 (de) * 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolationsverfahren für eine Halbleitervorrichtung
JP3847217B2 (ja) * 2002-06-14 2006-11-22 Necエレクトロニクス株式会社 半導体装置の製造方法
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6709924B1 (en) * 2002-11-12 2004-03-23 Advanced Micro Devices, Inc. Fabrication of shallow trench isolation structures with rounded corner and self-aligned gate
KR100546852B1 (ko) * 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
ITRM20030255A1 (it) * 2003-05-26 2004-11-27 St Microelectronics Srl Processo per la formazione di strutture di isolamento a
US6869860B2 (en) * 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
JP4158621B2 (ja) * 2003-06-26 2008-10-01 沖電気工業株式会社 半導体装置の製造方法
KR100545175B1 (ko) * 2003-12-27 2006-01-24 동부아남반도체 주식회사 플래시 메모리 소자의 트랜치 아이솔레이션 형성방법
JP2006156471A (ja) 2004-11-25 2006-06-15 Toshiba Corp 半導体装置および半導体装置の製造方法
US7271463B2 (en) * 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base
KR100568259B1 (ko) * 2004-12-14 2006-04-07 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
KR100629606B1 (ko) * 2004-12-31 2006-09-27 동부일렉트로닉스 주식회사 고전압 소자 영역의 게이트 산화막 질 개선방법
KR100607351B1 (ko) * 2005-03-10 2006-07-28 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP2006269789A (ja) 2005-03-24 2006-10-05 Toshiba Corp 半導体装置及びその製造方法
JP2007110005A (ja) * 2005-10-17 2007-04-26 Nec Electronics Corp 半導体装置の製造方法
KR100678645B1 (ko) * 2006-01-13 2007-02-06 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4901221B2 (ja) * 2006-01-17 2012-03-21 株式会社東芝 半導体装置の製造方法
KR100822604B1 (ko) 2006-02-23 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100780643B1 (ko) * 2006-06-29 2007-11-29 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성방법
JP2008010724A (ja) 2006-06-30 2008-01-17 Sharp Corp 半導体装置及びその製造方法
JP2008034624A (ja) 2006-07-28 2008-02-14 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2008091614A (ja) * 2006-10-02 2008-04-17 Toshiba Corp 半導体装置およびその製造方法
JP5091452B2 (ja) * 2006-10-06 2012-12-05 株式会社東芝 半導体装置の製造方法
KR100823703B1 (ko) * 2006-11-03 2008-04-21 삼성전자주식회사 소자 분리 구조물, 이의 형성 방법, 이를 포함하는 반도체장치 및 그 제조 방법
KR100790296B1 (ko) * 2006-12-04 2008-01-02 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
KR100825014B1 (ko) * 2006-12-27 2008-04-24 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
KR20080062744A (ko) * 2006-12-29 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7645678B2 (en) * 2007-02-13 2010-01-12 United Microelectronics Corp. Process of manufacturing a shallow trench isolation and process of treating bottom surface of the shallow trench for avoiding bubble defects
KR20080084166A (ko) * 2007-03-15 2008-09-19 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
KR100851916B1 (ko) * 2007-03-31 2008-08-12 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조방법
KR100818714B1 (ko) * 2007-04-10 2008-04-02 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100849725B1 (ko) * 2007-06-28 2008-08-01 주식회사 하이닉스반도체 급속 증기 증착법을 이용한 반도체 소자의 소자분리막형성방법
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100899393B1 (ko) * 2007-09-07 2009-05-27 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100894101B1 (ko) * 2007-09-07 2009-04-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100891534B1 (ko) * 2007-10-26 2009-04-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100939778B1 (ko) * 2007-11-30 2010-02-04 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
KR101038615B1 (ko) * 2007-12-27 2011-06-03 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20090184402A1 (en) * 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US20100001378A1 (en) * 2008-07-01 2010-01-07 Teledyne Scientific & Imaging, Llc Through-substrate vias and method of fabricating same
JP2010027904A (ja) * 2008-07-22 2010-02-04 Elpida Memory Inc 半導体装置の製造方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07221058A (ja) * 1994-01-31 1995-08-18 Sony Corp 平坦化方法および研磨装置
JPH0846029A (ja) * 1994-06-29 1996-02-16 Internatl Business Mach Corp <Ibm> 分離部材を形成する方法
JP2004179614A (ja) * 2002-10-02 2004-06-24 Toshiba Corp 半導体装置の製造方法
JP2004311487A (ja) * 2003-04-02 2004-11-04 Hitachi Ltd 半導体装置の製造方法
JP2007005438A (ja) * 2005-06-22 2007-01-11 Toshiba Corp 半導体装置の製造方法
JP2007220739A (ja) * 2006-02-14 2007-08-30 Sony Corp 半導体装置及びその製造方法並びに酸窒化シリコン膜の形成方法
JP2007258266A (ja) * 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
KR100843246B1 (ko) * 2007-05-22 2008-07-02 삼성전자주식회사 Sti 구조를 가지는 반도체 소자 및 그 제조 방법
JP2008294445A (ja) * 2007-05-22 2008-12-04 Samsung Electronics Co Ltd Sti構造を有する半導体素子及びその製造方法
JP2008305974A (ja) * 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法

Also Published As

Publication number Publication date
US20100022069A1 (en) 2010-01-28
US8173515B2 (en) 2012-05-08

Similar Documents

Publication Publication Date Title
US7858490B2 (en) Semiconductor device having dual-STI and manufacturing method thereof
JP2010027904A (ja) 半導体装置の製造方法
JP2006196843A (ja) 半導体装置およびその製造方法
US20100072542A1 (en) Semiconductor device, method for manufacturing the same, and data processing system
TWI470733B (zh) 溝渠絕緣製程
US9305927B2 (en) Semiconductor device and method of manufacturing the same
US8669152B2 (en) Methods of manufacturing semiconductor devices
JP2011233694A (ja) 半導体装置の製造方法
JP2009182114A (ja) 半導体装置およびその製造方法
JP2006120904A (ja) 半導体装置及びその製造方法
JP2009158813A (ja) 半導体装置の製造方法、及び半導体装置
JP2008177277A (ja) フラッシュメモリ及びフラッシュメモリの製造方法
JP2007311818A (ja) 半導体装置
JP2003243293A (ja) 半導体装置の製造方法
JP3611226B2 (ja) 半導体装置及びその製造方法
US9123579B2 (en) 3D memory process and structures
JP2009060143A (ja) 半導体装置
JP2005158899A (ja) 半導体記憶装置及びその製造方法
JP4191203B2 (ja) 半導体装置及びその製造方法
JP2006080310A (ja) 半導体装置及びその製造方法
US20090111240A1 (en) Method of manufacturing semiconductor device
WO2014125950A1 (ja) 半導体装置及びその製造方法
JP2009239302A (ja) 半導体装置
JP2009004480A (ja) 半導体装置の製造方法
JP2006191129A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110512

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140121

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140124

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140501

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141216

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20150421