DE102005026565A1 - Mulden-Gate und Verfahren zur Herstellung eines Halbleiterbauelements mit demselben - Google Patents

Mulden-Gate und Verfahren zur Herstellung eines Halbleiterbauelements mit demselben Download PDF

Info

Publication number
DE102005026565A1
DE102005026565A1 DE102005026565A DE102005026565A DE102005026565A1 DE 102005026565 A1 DE102005026565 A1 DE 102005026565A1 DE 102005026565 A DE102005026565 A DE 102005026565A DE 102005026565 A DE102005026565 A DE 102005026565A DE 102005026565 A1 DE102005026565 A1 DE 102005026565A1
Authority
DE
Germany
Prior art keywords
gate
layer
etching
well
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102005026565A
Other languages
English (en)
Inventor
Jae-Seon Ichon Yu
Phil-Goo Ichon Kong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of DE102005026565A1 publication Critical patent/DE102005026565A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Mulden-Gate und ein Verfahren zur Herstellung eines Halbleiterbauelements mit demselben werden nachgewiesen. Das Mulden-Gate weist auf: ein Substrat; eine mit einer vorbestimmten Tiefe in einem vorbestimmten Abschnitt des Substrats gebildete Mulde; eine über dem Substrat mit der Mulde gebildete Gate-Isolationsschicht; eine auf der Gate-Isolationsschicht gebildete Gate-Polysiliziumschicht; eine auf der Gate-Polysiliziumschicht gebildete Gate-Metallschicht, die Mulde füllend; und eine auf der Gate-Metallschicht gebildete harte Gate-Maske.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf eine Halbleitertechnologie; und insbesondere auf ein Mulden-Gate und ein Verfahren zur Herstellung eines Halbleiterbauelements mit demselben.
  • Gate-Leitungen werden normalerweise auf eingeebneten aktiven Regionen gebildet. Da die Größe einer Struktur jedoch abgenommen hat, hat eine Kanallänge eines Gates abgenommen, und es hat sich ein Dotierkonzentration einer Ionenimplantation erhöht, was zu einem Anstieg in einem elektrischen Feld führt, welches zu einem Verbindungsleck führt. Somit weist die obige Gate-Leitungsbildung eine Schwierigkeit darin auf, eine Auffrischeigenschaft sicherzustellen.
  • Für ein verbessertes Gate-Leitungsbildungsverfahren wurde ein Mulden-Gate-Bildungsprozess des Bildens eines Gates nach Herausnehmen eines Abschnittes einer aktiven Region vorgeschlagen. Der Mulden-Gate-Bildungsprozess macht es möglich, die Kanallänge zu erhöhen und die Dotierkonzentration der Ionenimplantation abzusenken. Somit wurde durch diesen Mulden-Gate-Bildungsprozess die Auffrischeigenschaft verbessert.
  • 1A bis 1C sind Querschnitte von Mulden-Gates zum Darstellen eines herkömmlichen Verfahrens zum Bilden derselben.
  • Gemäß 1A werden Abschnitte eines Siliziumsubstrats 11 herausgenommen, bis eine vorbestimmte Tiefe erreicht wird, wodurch eine Vielzahl von Mulden 12 erhalten wird.
  • Es wird dann gemäß 1B eine Gate-Isolationsschicht 13 über einer Oberfläche des Siliziumsubstrats 11 gebildet. Es wird eine Gate-Polysiliziumschicht 14 auf der Gate-Isolationsschicht 13 gebildet, bis die Gate-Polysiliziumschicht 14 die Mulden 12 füllt. Eine Gate-Metallschicht 15 und eine harte Gate-Maskenschicht 16 werden sequentiell auf der Gate-Polysilizium-Schicht 14 gebildet. Die Gate-Metallschicht 15 basiert auf einem Material, wie etwa Wolfram-Silizid oder Wolfram, um einen Flächenwiderstand von Mulden-Gates zu reduzieren. Die harte Gate-Maskenschicht 16 wird unter Verwendung von Siliziumnitrid gebildet.
  • Gemäß 1C werden die harte Gate-Maskenschicht 16, die Gate-Metallschicht 15 und die Gate-Polysiliumschicht 14 durch einen Gate-Strukturierungsprozess strukturiert, um eine Vielzahl von Mulden-Gates 100 zu bilden. Hier bezeichnen die Bezugszeichen 14A, 15A bzw. 16A eine strukturierte Gate-Polysiliziumschicht, eine strukturierte Gate-Metallschicht bzw. eine harte Gate-Maske.
  • Gemäß dem obigen Mulden-Gate-Bildungsverfahren ist es aufgrund eines Längeverhältnisses der Mulden schwierig, die Gate-Polysiliziumschicht 14 in Mulden 12 zu füllen, ohne Lücken zu erzeugen, wenn die Gate-Polysiliziumschicht 14 die Lücken 12 füllt.
  • In dem Fall, dass eine Dicke der Gate-Polysiliziumschicht 14 erhöht wird, um das Problem der Lückenerzeugung zu lösen, steigt eine Höhe des individuellen Mulden-Gates 100 an, was zu einer weiteren Schwierigkeit beim Ätzen einer Oxidschicht führt, verwendet zur Isolation von Kontaktpfropfen, welche durch einen nachfolgenden Prozess gebildet werden.
  • 1D ist ein Querschnitt durch eine herkömmliche Pfropfenisolationsoxidschicht zum Darstellen eines Auftretens eines Ätzstopps. Es sollte festgehalten werden, dass gleiche Bezugszeichen für die gleichen, in 1A bis 1C beschriebenen Konfigurationselemente verwendet werden.
  • Wie dargestellt, wird eine Gate-Spacerschicht 17 basierend auf Siliziumnitrid auf dem Siliziumsubstrat 11 und auf dem Mulden-Gates 100 gebildet, und es wird dann eine Zwischenschichtisolationsschicht 18 auf der Gate-Spacerschicht 17 zur Isolation von Pfropfen gebildet. Anschließend wird die Zwischenschichtisolationsschicht 18 einem selbst ausgerichteten Kontaktätzprozess ausgesetzt, um ein Kontaktloch 19 zu bilden, welches eine Oberfläche des Siliziumsubstrats 11 zwischen den Mulden-Gates 100 öffnet. Wie in 1D dargestellt, nimmt jedoch eine Dicke der Zwischenschicht-Isolationsschicht 18, die zum Bilden des Kontaktlochs 19 zu ätzen ist, zu, da die Mulden-Gates 100 zu hoch sind. Im Ergebnis kann ein Problem darin bestehen, dass das Kontaktloch 19 nicht vollständig geöffnet wird.
  • Zusammenfassung der Erfindung
  • Es ist daher ein Ziel der vorliegenden Erfindung, ein Mulden-Gate zur Verfügung zu stellen, dessen Höhe reduziert ist, ohne Lücken zu erzeugen, wenn ein Gate-Elektrodenmaterial in eine Mulde gefüllt wird, und ein Verfahren zur Herstellung desselben zur Verfügung zu stellen.
  • In Übereinstimmung mit einem Aspekt der vorliegenden Erfindung wird ein Mulden-Gate eines Halbleiterbauelements zur Verfügung gestellt, welches aufweist: ein Substrat; eine Mulde, gebildet mit einer vorbestimmten Tiefe in einem vorbestimmten Abschnitt des Substrats; eine Gate-Isolationsschicht, gebildet über dem Substrat mit der Mulde; eine Gate-Polysiliziumschicht, gebildet auf der Gate-Isolationsschicht; eine Gate-Metallschicht, welche auf der Gate-Polysiliziumschicht gebildet ist, und die Mulde füllt; und eine harte Gate-Maske, gebildet auf der Gate-Metallschicht.
  • In Übereinstimmung mit einem weiteren Aspekt der vorliegenden Erfindung wird ein Verfahren zur Herstellung eines Halbleiterbauelements zur Verfügung gestellt, mit den Schritten: Bilden einer Mulde durch Ätzen eines Substrats in einer vorbestimmten Tiefe; Bilden einer Gate-Isolationsschicht über dem Substrat einschließlich der Mulde; Bilden einer Gate-Polysiliziumschicht auf der Gate-Isolationsschicht, Bilden einer Gate-Metallschicht auf der Gate-Polysiliziumschicht, so dass die Gate-Metallschicht die Mulde füllt; Bilden einer harten Gate-Maskenschicht auf der Gate-Metallschicht; und sequentielles Ätzen der harten Gate-Maskenschicht, der Gate-Metallschicht und der Gate- Polysiliziumschicht, um ein Mulden-Gate zu bilden, dessen Bodenabschnitt in die Mulde gefüllt wird.
  • Kurze Beschreibung der Zeichnungen
  • Das obige und andere Ziele und Eigenschaften der vorliegenden Erfindung werden besser verständlich mit Bezug auf die folgende Beschreibung der bevorzugten Ausführungsformen, die in Verbindung mit den begleitenden Zeichnungen vorgenommen wird, in denen:
  • 1A bis 1C Querschnitte von Mulden-Gates zum Darstellen eines herkömmlichen Verfahrens zur Herstellung derselben sind;
  • 1D ein Querschnitt einer herkömmlichen Pfropfenisolationsoxidschicht zur Darstellen eines Auftretens eines Ätzstopps ist;
  • 2 ein Querschnitt ist, welcher ein Halbleiterbauelement mit Mulden-Gates in Übereinstimmung mit einer bevorzugten Ausführungsform der vorliegenden Erfindung darstellt;
  • 3A bis 3E Querschnitte sind, die ein Verfahren zur Herstellung von Mulden-Gates in Übereinstimmung mit der bevorzugten Ausführungsform der vorliegenden Erfindung darstellen; und
  • 4 ein Querschnitt ist, welcher ein Verfahren zur Herstellung von Kontaktlöchern in einem Halbleiterbauelement darstellt, welchem Mulden-Gates hinzugefügt werden, die gemäß der bevorzugten Ausführungsform der vorliegenden Erfindung hergestellt sind.
  • Detaillierte Beschreibung der Erfindung
  • Ein Mulden-Gate und ein Verfahren zur Herstellung eines Halbleiterbauelements mit demselben in Übereinstimmung mit einer bevorzugten Ausführungsform der vorliegenden Erfindung wird im Detail mit Bezug auf die begleitenden Zeichnungen beschrieben.
  • 2 ist ein Querschnitt, welcher ein Halbleiterbauelement mit Mulden-Gates in Übereinstimmung mit einer bevorzugten Ausführungsform der vorliegenden Erfindung darstellt.
  • Wie dargestellt ist, schließt jedes der Mulden-Gates 200 ein: ein auf einem Material, wie etwa Silizium, basierendes Substrat 21; eine Mulde 25, gebildet mit einer vorbestimmten Tiefe in einem Abschnitt des Substrats 21; eine Gate-Isolationsschicht 26, gebildet auf der Mulde 25 und auf dem Substrat 21; eine strukturierte Polysiliziumschicht 27A, gebildet auf der Gate-Isolationsschicht 26, eine strukturierte Gate-Metallschicht 28A, gebildet auf der strukturierten Gate-Polysiliziumschicht 27A und die Mulde 25 füllend; und eine harte Gate-Maske 29A, gebildet auf der strukturierten Gate-Metallschicht 28A.
  • In 2 wird die strukturierte Gate-Polysiliziumschicht 27A durch Strukturieren einer Gate-Polysiliziumschicht erhalten, welche dünn auf der Gate-Isolationsschicht 26 entlang eines Profils der Mulde 25 ausgebildet wird. Die strukturierte Gate-Metallschicht 28A wird erhalten durch Strukturieren einer Gate-Metallschicht, welche auf der Gate-Polysiliziumschicht derart gebildet wird, dass die Gate-Metallschicht in einem weiten Kontakt mit der Gate-Polysiliziumschicht steht und die Mulde 25 füllt. Die Bildung der strukturierten Gate-Polysiliziumschicht 27A und der strukturierten Gate-Metallschicht 28A wird im Detail in der folgenden Beschreibung beschrieben.
  • Da die strukturierte Gate-Polysiliziumschicht 27A und die strukturierte Gate-Metallschicht 28A dünn ausgebildet werden, wird eine Höhe des individuellen Mulden-Gates 200 reduziert. Obwohl die strukturierte Gate-Polysiliziumschicht 27A und die strukturierte Gate-Metallschicht 28A dünn ausgebildet werden, ist es auch weiterhin möglich, einen Leitungswiderstand des individuellen Mulden-Gates 200 zu vermindern, da die Gate-Metallschicht ausgebildet ist, um einen breiten Kontakt mit der Gate-Polysiliziumschicht aufzuweisen.
  • Die strukturierte Gate-Metallschicht 28A wird durch Verwendung eines Materials gebildet, welches aus einer Gruppe ausgewählt wird, die aus Wolfram-Silizid, Wolfram-Kobalt-Silizid und Titan-Silizid besteht, und weist eine Dicke in einem Bereich von etwa 500 Å bis 1500 Å auf. Die strukturierte Gate-Polysiliziumschicht weist eine Dicke in einem Bereich von etwa 100 Å bis etwa 1000 Å auf. Auch wird die Mulde 25 ausgebildet, um eine Form mit abgerundeten Kanten aufzuweisen.
  • 3A bis 3E sind Querschnitte, die ein Verfahren zum Bilden eines Halbleiterbauelements mit Mulden-Gates in Übereinstimmung mit der bevorzugten Ausführungsform der vorliegenden Erfindung darstellen. Hier werden die gleichen Bezugszeichen für die in 2 beschriebenen gleichen Konfigurationselemente verwendet.
  • Gemäß 3A werden eine Polsteroxidschicht 22 und eine harte Masken Polysiliziumschicht 23 sequentiell auf einem Substrat 21 gebildet. Hier ist die Polsteroxidschicht 22 typischerweise eine Polsteroxidschicht, die während eines Isolationsprozesses mit flachen Graben (STI) verwendet wird, obwohl sie in dieser Zeichnung nicht dargestellt ist. Im Allgemeinen wird eine Polsteroxidschicht als eine Bauelementisolationsschicht verwendet, die durch den STI-Prozess gebildet wird. Auch wirkt die harte Masken Polysiliziumschicht 23 als eine Ätzbarriere, wenn das Substrat 21 geätzt wird, um Mulden zu bilden, und weist eine Dicke in einem Bereich von etwa 1000 Å bis etwa 5000 Å auf.
  • Obwohl es nicht dargestellt ist, wird eine fotoempfindliche Schicht auf der harten Maskenpolysiliziumschicht 23 gebildet und durch einen Fotobelichtungs- und -entwicklungsprozess strukturiert, wodurch eine Maskenstruktur 24 gebildet wird. Durch Verwendung der Maskenstruktur 24 als eine Ätzbarriere wird die harte Masken Polysiliziumschicht 23 geätzt.
  • Gemäß 3B wird die Maskenstruktur 24 durch einen Abziehprozess entfernt, und anschließend wird die Polster Oxidschicht 22 geätzt durch Verwenden der geätzten harten Masken Polysiliziumschicht 23 als eine Ätzbarriere. Abschnitte des Substrats 21, die exponiert werden, wenn die Polster-Oxidschicht 22 geätzt wird, werden bis zu einer vorbestimmten Tiefe geätzt, wodurch eine Mehrzahl von Mulden 25 erhalten wird. Derzeit wird während dieses Ätzprozesses zum Bilden der Mulden 25 die harte Masken Polysiliziumschicht 23 verbraucht, da die harte Masken Polysiliziumschicht 23 auf dem gleichen Material aufbaut, wie das Substrat 21, d.h. auf Silizium.
  • Insbesondere wird der obige Ätzprozess zum Bilden der Mulden 25 mit einer Ätzvorrichtung ausgeführt, in welcher induktiv gekoppeltes Plasma (ICP), eine entkoppelte Plasmaquelle (DPS), Elektronenzyklotronresonanz (ECR) oder magnetisch verstärktes reaktives Ionenätzen (MERIE) verwendet wird. Derzeit wird als ein Ätzgas ein gemischtes Gas aus Chlor (Cl2), Sauerstoff (O2), Wasserstoffbrom (HBr) und Argon (Ar) verwendet. Das Cl2-Gas, das HBr-Gas und das Ar-Gas werden individuell in einer Menge eingeströmt, die in einem Bereich von etwa 10 sccm bis etwa 100 sccm liegt, während das O2-Gas in einer Menge eingeströmt wird, die in einem Bereich von etwa 1 sccm bis etwa 20 sccm liegt. Etwa 50 W bis etwa 400 W einer Grundenergie wird zur Verfügung gestellt, und ein Druck wird eingestellt, um in einem Bereich von etwa 5 mTorr bis etwa 50 mTorr zu liegen.
  • Da die Mulden 25 nach dem obigen Ätzprozess scharfwinklige Kanten aufweisen, wird durch Verwendung eines Kohlenstofffluorid (CF) und sauerstoffhaltigen Plasmas eine zusätzliche leicht ätzende Behandlung durchgeführt, um die scharfwinkligen Kanten der Mulden 25 abzurunden. Die leicht ätzende Behandlung liefert zusätzlich einen Effekt des Linderns von Schäden auf dem Substrat 21, verursacht durch das Plasma während des Ätzprozesses zum Bilden der Mulden 25. Die leicht ätzende Behandlung führt auch zu einer reduzierten Erzeugung von Hörnern an Grenzregionen zwischen den Bauelementisolationsregionen und den Mulden 25.
  • Gemäß 3C wird die Polster-Oxidschicht 22 durch Verwendung einer Lösung aus Flusssäure (HF) oder einer Lösung aus gepuffertem Oxidätzmittel (BOE) entfernt, erhalten beim Mischen von Ammoniumfluorid (NH4F), Wasserstoffperoxid (H2O2) und Wasser (H2O). Anschließend wird eine Gate-Isolationsschicht 26 auf dem Substrat 21 und auf den Mulden 25 gebildet, und es wird dann eine Gate-Polysiliziumschicht 27 dünn auf der Gate-Isolationsschicht 26 entlang des Profils der Mulden 25 ausgebildet. Anstelle eines Füllens der Mulden 25 wird insbesondere die Gate-Polysiliziumschicht 27 über den Mulden 25 ausgebildet, und eine Dicke der Gate-Polysiliziumschicht 27 liegt insbesondere in einem Bereich von etwa 100 Å bis etwa 1000 Å.
  • Gemäß 3D wird eine Gate-Metallschicht 28 auf der Gate-Polysiliziumschicht 27 gebildet, bis die Gate-Metallschicht 28 die Mulden 25 füllt, und es werden dann harte Gate-Masken 29A auf der Gate-Metallschicht 28 gebildet. Derzeit wird die Gate-Metallschicht 28 in einer Dicke gebildet, die groß genug ist, um die Mulden 25 zu füllen, so dass die Gate-Metallschicht 28 in einem breiten Kontakt mit der Gate-Polysiliziumschicht 27 sogar dann steht, wenn die Gate-Metallschicht 28 dünn ausgebildet ist. Im Ergebnis dieses breiten Kontaktes ist es möglich, einen Leitungswiderstand von gewünschten (englisch = targeted) Mulden-Gates zu reduzieren. Vorzugsweise liegt die Dicke der Gate-Metallschicht 28 in einem Bereich von etwa 500 Å bis etwa 1500 Å. Die harte Gate-Metallschicht 28 wird auch durch Verwendung eines Materials gebildet, welches aus einer Gruppe ausgewählt ist, die aus Wolfram-Silizid, Wolfram, Kobalt-Silizid und Titanium-Silizid besteht. Die harten Gate-Masken 29A werden unter Verwendung von Silizium-Nitrid (Si3N4) gebildet.
  • Bei einer detaillierteren Betrachtung der Bildung der harten Gate-Maske 29A, obwohl nicht dargestellt, wird eine fotoempfindliche Schicht auf einer harten Gate-Maskenschicht gebildet und dann durch einen Fotobelichtungs- und -entwicklungsprozess strukturiert, wodurch eine Gate-Maskenstruktur 30 erhalten wird. Anschließend wird die harte Gate-Maskenschicht durch Verwendung der Gate-Maskenstruktur 30 als eine Ätzbarriere geätzt, wodurch die harten Gate-Masken 29A erhalten werden.
  • Gemäß 3E wird die Gate-Maskenstruktur 30 entfernt und es werden anschließend die Gate-Maskenschicht 28 und die Gate-Polysiliziumschicht 27 durch Verwendung der harten Gate-Maske 29A als eine Ätzbarriere sequentiell, wodurch Mulden-Gates 200 gebildet werden. Bezugszeichen 27A und 28A stellen eine strukturierte Gate-Polysiliziumschicht bzw. eine strukturierte Gate-Metallschicht dar.
  • Bei jedem der Mulden-Gates 200 wird ein Bodenabschnitt des Mulden-Gates 200 in die entsprechende Mulde 25 gefüllt, wobei sich ein oberer Abschnitt des Mulden-Gates 200 von einer Oberfläche des Substrats 21 her nach oben erstreckt. Aufgrund dieser bestimmten Struktur der Mulden-Gates 200 wird die Kanallänge erhöht.
  • In dem obigen Gate-Strukturierungsprozess zum Bilden der Mulden-Gates 200 mit der HDP-Ätzvorrichtung unter Verwendung des ICP oder des DPS wird das Ätzen der Gate-Metallschicht 28 in zwei Prozessen ausgeführt; diese sind ein Hauptätzprozess und ein Überätzprozess. Der Hauptätzprozess wird mit der Ätzvorrichtung mit hochdichtem Plasma (HDP) ausgeführt, in welcher das ICP, das DPS oder das ECR verwendet wird. Derzeit verwendet das Ätzgas etwa 10 sccm bis etwa 50 sccm eines Ätzgases, welches aus einer Gruppe ausgewählt ist, die aus BCl3, einem auf CF basierenden Gas, einem auf NF basierenden Gas, einem auf SF basierenden Gas, etwa 50 sccm bis etwa 200 sccm von Cl2-Gas, oder aus einer Kombination derselben besteht.
  • Um ein Querschnittsätzprofil des individuellen Mulden-Gates 200 senkrecht zu machen, verwendet der Gate-Strukturierungsprozess insbesondere zum Ätzen der Gate-Metallschicht 28 eine Quellenenergie, die in einem Bereich von etwa 500 W bis etwa 2000 W eingestellt ist, und ein Gas, welches aus einer Gruppe ausgewählt ist, die aus O2, Ar, Stickstoff (N2), Helium (He) und einer Kombination derselben besteht. Derzeit wird etwa 1 sccm bis etwa 20 sccm des O2-Gases verwendet; es wird etwa 1 sccm bis etwa 1090 sccm des N2-Gases verwendet; es wird etwa 50 sccm bis etwa 200 sccm des Ar-Gases verwendet; und es wird etwa 50 sccm bis etwa 20 sccm des He-Gases verwendet.
  • In dem Gate-Strukturierungsprozess mit der HDP Ätzvorrichtung unter Verwendung des ECR wird eine Mikrowellenenergie in einem Bereich von etwa 1000 W bis etwa 3000 W eingestellt, und es wird ein Gas, welches aus einer Gruppe ausgewählt ist, die aus O2, Ar, N2, Helium und einer Kombination derselben besteht, verwendet, um ein Querschnittsätzprofil des individuellen Mulden-Gates 200 senkrecht zu machen. Wird etwa 1 sccm bis etwa 20 sccm O2-Gas verwendet; es wird etwa 1 sccm bis etwa 1090 sccm N2-Gas verwendet; es wird etwa 50 sccm bis etwa 200 sccm des Ar-Gases verwendet; und es wird etwa 50 sccm bis etwa 20 sccm des He-Gases verwendet.
  • Nach dem obigen Hauptätzprozess wird die Gate-Metallschicht 28 dem Überätzprozess ausgesetzt, durch Verwendung eines gemischten Plasmas, einschließlich Cl2-Gas und N2-Gas, oder eines Plasmas, welches durch Hinzufügen von O2-Gas und He-Gas zu einem gemischten Gas aus Cl2-Gas und N2-Gas erhalten wird, um zu verhindern, dass die Gate-Isolationsschicht 26 während des Überätzprozesses beschädigt wird, auch wenn die Gate-Isolationsschicht 26 durch den Überätzprozess exponiert wird. Jedes der oben erwähnten Plasmas weist eine hohe Ätzselektivität mit Bezug auf Oxid auf. Das Cl2-Gas wird in einer Menge eingeströmt, die in einem Bereich von etwa 20 sccm bis etwa 150 sccm liegt, während das N2-Gas in einer Menge eingeströmt wird, die in einem Bereich von etwa 10 sccm bis etwa 100 sccm liegt.
  • Während des Gate-Strukturierungsprozesses zum Bilden der Mulden-Gates 200 wird die Gate-Polysiliziumschicht 27 mit der HDP-Ätzvorrichtung unter Verwendung des ICP, des DPS oder des ECR geätzt. Derzeit wird ein gemischtes Plasma, welches HBr-Gas und O2-Gas enthält, als ein Ätzgas verwendet, um die Gate-Polysiliziumschicht 27 ohne Aufbrauchen der strukturierten Gate-Metallschicht 28A und der Gate-Isolationsschicht 26 selektiv zu ätzen. Durch dieses selektive Ätzen werden beide laterale Seiten der Gate-Polysiliziumschicht 27 unterhalb der strukturierten Gate-Metallschicht 28 unterschnitten.
  • In dem Fall, in dem das selektive Ätzen der Gate-Polysiliziumschicht 27 mit der HDP-Ätzvorrichtung unter Verwendung des ICP und des DPS ausgeführt wird, wird eine Quellenenergie in einem Bereich von etwa 500 W bis etwa 2000 W eingestellt, und das HBr-Gas wird in einer Menge eingeströmt, die in einem Bereich von etwa 50 sccm bis etwa 200 sccm liegt, und das O2-Gas wird in einer Menge eingeströmt, die in einem Bereich von etwa 2 sccm bis etwa 20 sccm liegt.
  • In dem Fall, in dem die Gate-Polysiliziumschicht 27 mit der HDP-Ätzvorrichtung unter Verwendung des ECR geätzt wird, wird eine Mikrowellenenergie eingestellt, um in einem Bereich von etwa 1000 W bis etwa 3000 W zu liegen, und das HBr-Gas wird in einer Menge eingeströmt, die in einem Bereich von etwa 50 sccm bis etwa 200 sccm liegt, und das O2-Gas wird in einer Menge eingeströmt, die in einem Bereich von etwa 2 sccm bis etwa 20 sccm liegt.
  • Eigenschaften des Mulden-Gates 200 gemäß der vorliegenden Erfindung werden im Vergleich mit dem Mulden-Gate 100, welches in der 1D dargestellt ist, im Detail beschrieben.
  • Als erstes wird, wie für die Dicke der Gate-Polysiliziumschicht, die strukturierte Gate-Polysiliziumschicht 14A mit einer Dicke von D1 gebildet, die groß genug ist, um die Mulden 12 zu füllen. Die strukturierte Gate-Polysiliziumschicht 27A wird jedoch mit einer Dicke D11 gebildet, ohne die Mulden 25 zu füllen. Somit ist die strukturierte Gate-Polysiliziumschicht 27A gemäß der vorliegenden Erfindung dünner als die herkömmlich gebildete strukturierte Gate-Polysiliziumschicht 14A.
  • Als zweites wird die strukturierte Gate-Metallschicht 15A mit einer kleinen Kontaktfläche mit der strukturierten Gate-Polysiliziumschicht 14A gebildet, und somit wird die strukturierte Gate-Metallschicht 15A dick ausgebildet, um den Leitungswiderstand des Mulden-Gates 100 zu reduzieren. Ein Bezugszeichen D2 in 1E drückt die Dicke der strukturierten Gate-Metallschicht 15A aus. Im Gegensatz dazu kann auch dann, wenn die Gate-Metallschicht 28 mit einer dünnen Dicke D12 gebildet wird, die jedoch ausreichend ist, die Mulden 25 zu füllen, gemäß der vorliegenden Erfindung der Leitungswiderstand der Mulden-Gates 200 weiterhin reduziert werden. Somit ist die Dicke D12 der strukturierten Gate-Metallschicht 28A kleiner als die Dicke D2 der herkömmlich gebildeten strukturierten Gate-Metallschicht 15A. Auch sollte festgehalten werden, dass eine Dicke D3 der herkömmlich gebildeten harten Gate-Masken 16A identisch ist mit der Dicke D13 der harten Gate-Masken 29A gemäß der vorliegenden Erfindung.
  • Da die strukturierte Gate-Polysiliziumschicht und die strukturierte Gate-Metallschicht, wie oben beschrieben, dünn ausgeführt werden, sind die Mulden-Gates gemäß der vorliegenden Erfindung frei von einer Lückenerzeugung in dem in die Mulden gefüllten Gate-Material. Da die Gesamthöhe der Mulden-Gates reduziert ist, ist es auch einfach, eine Pfropfenisolationsoxidschicht während eines Ätzprozesses zum Bilden von Kontaktlöchern zur Bildung von Kontaktpfropfen zu ätzen.
  • 4 ist ein Querschnitt, welcher ein Verfahren zur Bildung eines Kontaktlochs in einem Halbleiterbauelement darstellt, welchem Mulden-Gates gemäß der vorliegenden Ausführungsform der vorliegenden Erfindung hinzugefügt werden. Hier werden die gleichen Bezugszeichen für die in 2 und den 3A bis 3E beschriebenen gleichen Konfigurationselemente verwendet, und es wird eine detaillierte Beschreibung von Prozessen zum Bilden derartiger Konfigurationselemente weggelassen.
  • Eine aus Siliziumnitrid hergestellte Gate-Spacerschicht 31 wird, wie dargestellt, über den Mulden-Gates 200 gebildet, und es wird dann eine Zwischenschichtisolationsschicht 32, die als eine Pfropfenisolationsschicht dient, auf dem Gate-Spacer 31 gebildet. Dann wird die Zwischenschichtisolationsschicht 32 durch einen selbstausgerichteten Kontakt (SAC)-Ätzprozess geätzt, um ein Kontaktloch 33 zu bilden, welches eine Oberfläche des Substrats 21 öffnet. Obwohl es nicht dargestellt ist, verwendet der SAC-Ätzprozess eine Kontaktmaske als eine Ätzbarriere, wenn die Zwischenschichtisolationsschicht 32 geätzt wird, und die Gate-Spacerschicht 31 wird anschließend geätzt.
  • Insbesondere verwendet der SAC-Ätzprozess ein Ätzgas, welches eine hohe Ätzselektivität bezüglich der Zwischenschichtisolationsschicht 32 mit Bezug auf die harten Gate-Masken 29A und die Gate-Spacerschicht 31, welches beide auf Nitrid aufgebaute Schichten sind, zur Verfügung stellt. Das Ätzgas wird aus einer Gruppe von Gasen ausgewählt, die ein hohes Niveau von Stickstoffen enthalten, die eine große Menge von Polymeren induzieren. Das bedeutet, dass das Ätzgas eines ist, welches aus einer Gruppe ausgewählt wird, welche aus C2F6, C2F4, C3F6, C3F8, C4F8, C5F8, C5F10 und C2HF5 besteht.
  • Auch wird ein wasserstoffhaltiges Gas zu dem oben erwähnten Ätzgas, welches für den SAC-Prozess verwendet wird, hinzugefügt, um eine Selektivität der Zwischenschichtisolationsschicht 32 bezüglich der harten Gate-Maske 29A und der Gate-Spacerschicht 31 zu erhöhen, und ein Fenster für den SAC-Ätzprozess zum Sicherstellen einer Reproduzierbarkeit des SAC-Ätzprozesses zu erweitern. Derzeit wird wasserstoffhaltiges Gas aus einer Gruppe ausgewählt, die aus CHF3, CH2F2, CH3F, CH2, CH4, C2H4 und H2 besteht. Das wasserstoffhaltige Gas kann auch eine Familie aus CxHyFz verwenden, wobei x ≥ 2, y ≥ 2 und z ≥ 2 ist.
  • Zusätzlich kann ein Inertgas dem gemischten Gas hinzugefügt werden, um ein Auftreten eines Ätzstopps zu verhindern, indem eine Plasmastabilität und ein Sputtereffekt während des Ätzens der Zwischenschichtisolationsschicht 32 ver bessert wird. Derzeit wird das Inertgas aus einer Gruppe ausgewählt, die aus He, Ne, Ar und Ze besteht.
  • Da die Höhe des individuellen Mulden-Gates 200 reduziert ist, tritt das Ereignis des Ätzstopps während des SAC-Ätzprozesses nicht auf, wodurch eine Erzeugung von Defekten, die in Beziehung zu einer Kontaktöffnung stehen, verhindert wird.
  • In Übereinstimmung mit der bevorzugten Ausführungsform ist es möglich, eine Auffrischcharakteristik eines Halbleiterbauelements einschließlich Mulden-Gates zu verbessern, indem man in der Lage ist, die Höhe und den Leitungswiderstand der Mulden-Gates zu verringern. Die reduzierte Höhe des Mulden-Gates verhindert auch ein Auftreten einer fehlerhaften Kontaktöffnung, die durch das Ätzstoppphänomen verursacht wird, wenn Kontaktlöcher durch den SAC-Ätzprozess gebildet werden. Als ein Ergebnis dieses Effekts ist es möglich, die Ausbeute an Halbleiterbauelementen zu erhöhen.
  • Die vorliegende Anmeldung enthält Gegenstände, die sich auf die koreanische Patentanmeldung Nr. KR 2004-0115061 beziehen, angemeldet beim koreanischen Patentamt am 29. Dezember 2004, wobei der gesamte Inhalt hier durch Inbezugnahme mit aufgenommen wird.
  • Während die vorliegende Erfindung mit Bezug auf bestimmte bevorzugte Ausführungsformen beschrieben wurde, ist es für den Fachmann der Technik klar, dass verschiedene Veränderungen und Modifikationen vorgenommen werden können, ohne von dem Geist und dem Bereich der Erfindung abzuweichen, wie er in den folgenden Ansprüchen definiert ist.

Claims (17)

  1. Mulden-Gate eines Halbleiterbauelements, aufweisend: ein Substrat; eine mit einer vorbestimmten Tiefe in einem vorbestimmten Abschnitt des Substrats gebildete Mulde; eine über dem Substrat mit der Mulde gebildete Gate-Isolationsschicht; eine auf der Gate-Isolationsschicht gebildete Gate-Polysiliziumschicht; eine auf der Gate-Polysiliziumschicht gebildete Gate-Metallschicht, die Mulde füllend; und eine auf der Gate-Metallschicht gebildete harte Gate-Maske.
  2. Mulden-Gate nach Anspruch 1, wobei die Gate-Polysiliziumschicht eine Dicke in einem Bereich von etwa 100 Å bis etwa 1000 Å aufweist.
  3. Mulden-Gate nach Anspruch 1, wobei die Gate-Metallschicht aus einer Gruppe ausgewählt ist, die aus Wolfram, Wolframsilizid, Kobaltsilizid und Titansilizid besteht.
  4. Mulden-Gate nach Anspruch 3, wobei die Gate-Metallschicht eine Dicke aufweist, die in einem Bereich von 500 Å bis etwa 1500 Å liegt.
  5. Mulden-Gate nach Anspruch 1, wobei die Mulde eine abgerundete Kantenform aufweist.
  6. Mulden-Gate nach Anspruch 1, wobei das Substrat auf Silizium basiert.
  7. Verfahren zur Herstellung eines Halbleiterbauelements, mit den Schritten: Bilden einer Mulde durch Ätzen eines Substrats, in einer vorbestimmten Tiefe; Bilden einer Gate-Isolationsschicht über dem Substrat, einschließlich der Mulde; Bilden einer Gate-Polysiliziumschicht auf der Gate-Isolationsschicht; Bilden einer Gate-Metallschicht auf der Gate-Polysiliziumschicht derart, dass die Gate-Metallschicht die Mulde füllt; Bilden einer harten Gate-Maskenschicht auf der Gate-Metallschicht; und Sequentielles Ätzen der harten Gate-Maskenschicht, der Gate-Metallschicht und der Gate-Polysiliziumschicht, um ein Mulden-Gate zu bilden, dessen Bodenabschnitt in die Mulde gefüllt ist.
  8. Verfahren nach Anspruch 7, wobei der Schritt des Bildens der Mulde die Schritte einschließt: Bilden einer harten Masken-Polysiliziumschicht auf dem Substrat; Bilden einer Maskenstruktur auf der harten Masken-Polysiliziumschicht; Ätzen der harten Masken-Polysiliziumschicht durch Verwenden der Maskenstruktur als eine Ätzbarriere; Ätzen eines vorbestimmten Abschnittes des Substrats in einer vorbestimmten Tiefe durch Verwendung der harten Masken-Polysiliziumschicht als eine Ätzbarriere, wodurch die Mulde gebildet wird; und Ausführen eines zusätzlichen Ätzprozesses auf der Mulde, um abgerundete Kanten der Mulde zu erhalten.
  9. Verfahren nach Anspruch 8, wobei der zusätzliche Ätzprozess ein CF/O2-Mischplasma verwendet.
  10. Verfahren nach Anspruch 8, wobei der Schritt des Bildens der Mulde mit einer Ätzvorrichtung ausgeführt wird, die ein induktiv gekoppeltes Plasma, eine entkoppelte Plasmaquelle, eine Elektronen-Zyklotron-Resonanz, oder ein magnetisch verstärktes, reaktives Ionenätzen durch Verwendung eines Ätzgases verwendet, welches durch Mischen von Cl2-Gas, O2-Gas, HBr-Gas und Ar-Gas erhalten wird.
  11. Verfahren nach Anspruch 7, wobei die Gate-Polysiliziumschicht eine Dicke aufweist, die in einem Bereich von etwa 100 Å bis etwa 1000 Å liegt.
  12. Verfahren nach Anspruch 7, wobei die Gate-Metallschicht durch Verwendung eines Materials gebildet wird, welches aus einer Gruppe ausgewählt wird, die aus Wolfram, Wolframsilizid, Kobaltsilizid und Titansilizid bersteht.
  13. Verfahren nach Anspruch 12, wobei die Gate-Metallschicht eine Dicke in einem Bereich von etwa 500 Å bis etwa 1500 Å aufweist.
  14. Verfahren nach Anspruch 7, wobei der Schritt des Bildens des Mulden-Gates die Schritte einschließt: Ätzen der harten Gate-Maskenschicht; Ätzen der Gate-Metallschicht in zwei Prozessen, einschließlich eines Hauptätzprozesses und eines Überätzprozesses, durch Verwenden der geätzten, harten Gate-Maskenschicht als eine Ätzbarriere; und Ätzen der Gate-Polysiliziumschicht.
  15. Verfahren nach Anspruch 14, wobei der Schritt des Bildens des Mulden-Gates mit einer Ätzvorrichtung ausgeführt wird, die ein induktiv gekoppeltes Plasma, eine entkoppelte Plasmaquelle, eine Elektronen-Zyklotron-Resonanz oder ein magnetisch verstärktes, reaktives Ionenätzen verwendet.
  16. Verfahren nach Anspruch 14, wobei der Überätzprozess mit Bezug auf die Gate-Metallschicht ausgeführt wird durch Verwendung eines Cl2/N2-Mischplasmas oder eines Plasmas, welches erhalten wird durch Hinzufügen von O2-Gas und He-Gas zu einem Mischgas aus Cl2 und N2.
  17. Verfahren nach Anspruch 16, wobei das Cl2-Gas in einer Menge eingeströmt wird, die in einem Bereich von etwa 20 sccm bis etwa 150 sccm liegt, und das N2-Gas in einer Menge eingeströmt wird, die in einem Bereich von etwa 10 sccm bis etwa 100 sccm liegt.
DE102005026565A 2004-12-29 2005-06-08 Mulden-Gate und Verfahren zur Herstellung eines Halbleiterbauelements mit demselben Ceased DE102005026565A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2004-0115061 2004-12-29
KR1020040115061A KR100562657B1 (ko) 2004-12-29 2004-12-29 리세스게이트 및 그를 구비한 반도체장치의 제조 방법

Publications (1)

Publication Number Publication Date
DE102005026565A1 true DE102005026565A1 (de) 2006-07-13

Family

ID=36599491

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005026565A Ceased DE102005026565A1 (de) 2004-12-29 2005-06-08 Mulden-Gate und Verfahren zur Herstellung eines Halbleiterbauelements mit demselben

Country Status (6)

Country Link
US (1) US20060138474A1 (de)
JP (1) JP2006190947A (de)
KR (1) KR100562657B1 (de)
CN (1) CN1797715A (de)
DE (1) DE102005026565A1 (de)
TW (1) TWI261864B (de)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100790267B1 (ko) * 2006-07-27 2008-01-02 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및 그 제조방법
KR100876779B1 (ko) 2006-07-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR100745881B1 (ko) * 2006-07-31 2007-08-02 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7883965B2 (en) * 2006-07-31 2011-02-08 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
KR100869359B1 (ko) * 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
KR101096442B1 (ko) 2006-09-30 2011-12-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7572704B2 (en) 2006-12-27 2009-08-11 Hynix Semiconductor Inc. Method for forming metal pattern and method for forming gate electrode in semiconductor device using the same
KR100842764B1 (ko) * 2006-12-27 2008-07-01 주식회사 하이닉스반도체 금속막 패턴 형성방법 및 이를 이용한 반도체 소자의게이트 전극 형성방법
KR100929630B1 (ko) 2006-12-29 2009-12-03 주식회사 하이닉스반도체 반도체 소자 및 그의 제조 방법
KR20080086686A (ko) * 2007-03-23 2008-09-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101355123B (zh) * 2007-07-23 2010-12-01 广镓光电股份有限公司 具有低缺陷密度的半导体发光组件及其制造方法
JP2009170857A (ja) * 2007-09-28 2009-07-30 Elpida Memory Inc 半導体装置及びその製造方法
KR100942961B1 (ko) * 2007-10-24 2010-02-17 주식회사 하이닉스반도체 주상 구조의 폴리실리콘 게이트전극을 구비한 반도체소자의제조 방법
KR101374323B1 (ko) 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
CN101969081A (zh) * 2009-07-27 2011-02-09 太聚能源股份有限公司 光电二极管装置的制造方法
TW201104903A (en) * 2009-07-27 2011-02-01 Solapoint Corp Method for manufacturing photodiode device
US8890262B2 (en) 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
JP2017038015A (ja) 2015-08-12 2017-02-16 株式会社東芝 半導体装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5476816A (en) * 1994-03-28 1995-12-19 Motorola, Inc. Process for etching an insulating layer after a metal etching step
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
KR19990048761A (ko) * 1997-12-10 1999-07-05 김덕중 반도체장치의 제조방법
KR19990055404A (ko) * 1997-12-27 1999-07-15 구본준 이이피롬 셀 및 그 제조방법
JP3705919B2 (ja) * 1998-03-05 2005-10-12 三菱電機株式会社 半導体装置及びその製造方法
KR100398955B1 (ko) * 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
KR100450667B1 (ko) * 2001-10-09 2004-10-01 삼성전자주식회사 유효 채널 길이를 연장시킬 수 있는 반도체 소자의 홈 형성방법
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
KR100468771B1 (ko) * 2002-10-10 2005-01-29 삼성전자주식회사 모스 트랜지스터의 제조방법
TW573333B (en) * 2003-03-03 2004-01-21 Promos Technologies Inc Semiconductor device and manufacturing method thereof
US6861701B2 (en) * 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
JP4627974B2 (ja) * 2003-08-01 2011-02-09 セイコーインスツル株式会社 半導体装置の製造方法
KR100518606B1 (ko) * 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
JP2005285980A (ja) * 2004-03-29 2005-10-13 Sanyo Electric Co Ltd 半導体装置および半導体装置の製造方法
US7208424B2 (en) * 2004-09-17 2007-04-24 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a metal layer
US7109552B2 (en) * 2004-11-01 2006-09-19 Silicon-Based Technology, Corp. Self-aligned trench DMOS transistor structure and its manufacturing methods

Also Published As

Publication number Publication date
TW200623210A (en) 2006-07-01
CN1797715A (zh) 2006-07-05
TWI261864B (en) 2006-09-11
JP2006190947A (ja) 2006-07-20
KR100562657B1 (ko) 2006-03-20
US20060138474A1 (en) 2006-06-29

Similar Documents

Publication Publication Date Title
DE102005026565A1 (de) Mulden-Gate und Verfahren zur Herstellung eines Halbleiterbauelements mit demselben
DE10045019B4 (de) Verfahren zur Herstellung einer nichtflüchtigen Halbleiterspeichervorrichtung
DE102008007671B4 (de) Verfahren zur Bildung feiner Strukturen eines Halbleiterbauelements
DE10016340C1 (de) Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
EP0000897B1 (de) Verfahren zum Herstellen von lateral isolierten Siliciumbereichen
DE10030308B4 (de) Verfahren zur Herstellung eines Kontaktstifts und eines Halbleiterbauelementes
DE10339989B4 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
DE19935946B4 (de) Verfahren zum Ausbilden einer dielektrischen Schicht
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102008011814B4 (de) CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
DE102015113250B4 (de) Struktur und verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE10224935A1 (de) Verfahren zum Ätzen von Öffnungen mit hohem Seitenverhältnis
DE102005030065B4 (de) Festphasenepitaxie verwendendes Halbleiterbauelement und Verfahren zur Herstellung desselben
DE3841588A1 (de) Dynamischer vertikal-halbleiterspeicher mit wahlfreiem zugriff und verfahren zu seiner herstellung
DE3242113A1 (de) Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
DE10222083A1 (de) Isolationsverfahren für eine Halbleitervorrichtung
DE60131926T2 (de) Verfahren zur Herstellung von selbjustierenden L-förmigen Seitenwand-Abstandsstücken
DE3834241A1 (de) Halbleitereinrichtung
DE10219107A1 (de) SOI-Transistorelement mit einem verbesserten Rückseitenkontakt und ein Verfahren zur Herstellung desselben
DE10051583A1 (de) Verfahren zum Herstellen von Halbleitervorrichtungen
DE102007052289A1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Recess-Gate
DE2615754C2 (de)
DE102007052051A1 (de) Verspannungsübertragung durch sequenzielles Vorsehen eines stark verspannten Ätzstoppmaterials und eines Zwischenschichtdielektrikums in einem Kontaktschichtstapel eines Halbleiterbauelements
DE10258787A1 (de) Verfahren zum Herstellen eines selbstausgerichteten potenzialfreien Gates in einer Flashspeicherzelle
DE112007002739B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Isolationsgraben und Kontaktgraben

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8131 Rejection