DE60312467T2 - Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere - Google Patents

Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere Download PDF

Info

Publication number
DE60312467T2
DE60312467T2 DE60312467T DE60312467T DE60312467T2 DE 60312467 T2 DE60312467 T2 DE 60312467T2 DE 60312467 T DE60312467 T DE 60312467T DE 60312467 T DE60312467 T DE 60312467T DE 60312467 T2 DE60312467 T2 DE 60312467T2
Authority
DE
Germany
Prior art keywords
oxygen
gate dielectric
permeable
dielectric
diffusion barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60312467T
Other languages
English (en)
Other versions
DE60312467D1 (de
Inventor
Reza Arghavani
Patricia Aloha STOKLEY
Robert Beaverton Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Application granted granted Critical
Publication of DE60312467D1 publication Critical patent/DE60312467D1/de
Publication of DE60312467T2 publication Critical patent/DE60312467T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Description

  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft allgemein das Gebiet der Halbleitertechnologie und insbesondere das Verhindern der seitlichen Oxidation in Transistoren unter Verwendung einer ultradünnen Sauerstoffdiffusionssperre.
  • Allgemeiner Stand der Technik
  • In der Regel enthält in Halbleiterprozessen ein Transistorbauelement ein Gate, gekennzeichnet durch ein Gatedielektrikum, das über einem Substrat angeordnet ist, und eine Gateelektrode, die über dem Gatedielektrikum angeordnet ist. Die Gateelektrode ist ein elektrisch leitfähiges Material, wie zum Beispiel dotiertes Polysilizium oder Metall. Das Gatedielektrikum war herkömmlicherweise ein dielektrisches Material mit niedrigem k-Wert, wie zum Beispiel Siliziumdioxid (SiO2).
  • Infolge des großen Bedarfs an kleineren Transistorbauelementen mußte das Dielektrikum mit niedrigem k-Wert jedoch immer dünner werden. Ab einer bestimmten Dünnheit beginnt das Gatedielektrikum mit niedrigem k-Wert jedoch seine dielektrischen Qualitäten zu verlieren. Folglich bestand eine konventionellere Lösung darin, das Dielektrikum mit niedrigem k-Wert durch ein Dielektrikum mit hohem k-Wert zu ersetzen. Ein Dielektrikum mit hohem k-Wert kann mit höheren dielektrischen Qualitäten bei geringerer Dicke aufwarten als Dielektrika mit niedrigen k-Werten. Nur haben leider auch Dielektrika mit hohen k-Werten ihre Probleme. Zum Beispiel sind Dielektrika mit hohen k-Werten hoch-durchlässig für Sauerstoffdiffusion. 1 veranschaulicht die potentiellen Auswirkungen einer Sauerstoffdiffusion durch ein Gatedielektrikum 102 mit hohem k-Wert in einer Transistorgatestruktur 100 gemäß dem Stand der Technik. Wenden wir uns 1 zu, wo ein Gatedielektrikum 102 mit hohem k-Wert über einem Siliziumsubstrat 101 angeordnet ist. Über dem Gatedielektrikum 102 mit hohem k-Wert ist eine Gateelektrode 104, auch als ein Steueranschluß bekannt, ausgebildet, die aus einem elektrisch leitfähigen Material, wie zum Beispiel dotiertem Polysilizium, hergestellt ist. Anschließend können Prozesse wie zum Beispiel Lithographien, Ausheilungen und Abstandshalterabscheidungen Sauerstoff 106 eintragen, entweder als Teil des Prozesses oder unbeabsichtigt als Umgebungssauerstoff, der seitlich (horizontal) in das Gatedielektrikum 102 mit hohem k-Wert eindiffundiert und Abschnitte des darunterliegenden Siliziumsubstrats 101 und der darüberliegenden Polysiliziumgateelektrode 104 oxidiert, so daß unerwünschte SiO2-Abscheidungen 108 entstehen.
  • Diese SiO2-Abscheidungen 108 sind überaus unerwünscht, weil sie im Endeffekt die Dicke des Gatedielektrikums 102 vergrößern und die Dicke der Gateelektrode 104 verringern. Des Weiteren verkleinern die SiO2-Abscheidungen 108 die effektive Dielektrizitätskonstante des Gatedielektrikums 102, wodurch die Kapazitanz des Schaltkreises zunimmt. Folglich geht der Nutzen des Verwendens eines Gatedielektrikums mit hohem k-Wert verloren. Außerdem sind die SiO2-Abscheidungen 108 unerwünscht, weil sie den Bereich des Siliziumsubstrats 101 angreifen, wo sich der Kanal befinden wird, wodurch die Leistung des Transistors beeinträchtigt wird, der aus der Gatestruktur 100 gebildet wird.
  • Zum Stand der Technik gehört ein Verfahren zur Herstellung eines Halbleiterbauelements, das einen MIS-Feldeffekttransistor mit einer gering-dotierten Drainstruktur (Lightly Doped Drain – LDD) umfaßt, wobei die Randabschnitte der Gateisolationsschicht nicht beschädigt werden, sowie ein Halbleiterbauelement, das einen MIS-Feldeffekttransistor umfaßt, der mittels dieses Verfahrens hergestellt wird.
  • Ein solches Halbleiterbauelement kann durch ein Verfahren hergestellt werden, das Folgendes beinhaltet: Ausbilden einer Feldoxidschicht zum Trennen von Elementen auf der Hauptfläche eines Siliziumsubstrats; anschließend Ausbilden einer Gateoxidschicht auf der Hauptfläche des Siliziumsubstrats, wobei die Dicke der Gateoxidschicht zwischen einigen wenigen nm und 100 nm liegt; danach Ausbilden einer Polysiliziumschicht über der gesamten Hauptfläche des Siliziumsubstrats, wobei in die Polysiliziumschicht Phosphor als ein Fremdstoff eingearbeitet wird, um zu gewährleisten, daß die Polysiliziumschicht leitfähig ist; danach Aufbringen eines Photoresists über der Polysiliziumschicht und strukturieren des Photoresists in einer bestimmten Weise, wobei der auf diese Weise strukturierte Photoresist als eine Maske zum selektiven Ätzen der Polysiliziumschicht durch anisotropes Ätzen verwendet wird, um die Gateelektrode auszubilden, wobei das Verfahren zum anisotropen Ätzen der Polysiliziumschicht im Allgemeinen so abläuft, daß ein Gas wie zum Beispiel Freon oder ein Halogen mit verringertem Druck in der Größenordnung des zweistelligen mTorr-Bereichs strömt und ein Hochfrequenzplasma daraus erzeugt wird und das Ätzen durch dieses Hochfrequenzplasma ausgeführt wird.
  • Für ein solches Verfahren wird die Siliciumnitridschicht über der gesamten Hauptfläche des Siliziumsubstrats ausgebildet, so daß die Gateoxidschicht und die Gateelektrode bedeckt sind. Ein CVD-Verfahren, bei dem Dichloramin und Ammoniak als Materialgase eingesetzt werden, könnte zum Beispiel als das Verfahren zum Ausbilden der Siliziumnitridschicht verwendet werden. Die Siliziumnitridschicht wird durch anisotropes Ätzen zurückgeätzt. Dabei bleibt die Siliziumnitridschicht an Stellen zurück, welche die Seitenflächen der Gateelektrode und der Gateoxidschicht abschirmen. Das Verfahren des Ausführens eines anisotropen Ätzens auf der Siliziumnitridschicht könnte eines sein, bei dem ein freonartiges Gas mit einem verringerten Druck von zum Beispiel 0,1 Torr (1 Torr = 133 Pa) strömt, ein Hochfrequenzplasma daraus erzeugt wird und das Ätzen durch dieses Hochfrequenzplasma ausgeführt wird.
  • Ein Beispiel eines solchen Verfahrens und eines damit hergestellten Halbleiterbauelements findet sich in der US-Patentanmeldung Nr. 20020047168 an Toshihiko.
  • Die Erfindung stellt einen Transistor nach Anspruch 1 bereit.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Erfindung wird durch die Figuren der begleitenden Zeichnungen beispielhaft veranschaulicht und wird nicht durch diese Figuren eingeschränkt. In diesen Figuren, in denen gleiche Bezugszahlen ähnliche Elemente bezeichnen, ist Folgendes dargestellt:
  • 1 veranschaulicht die potentiellen Auswirkungen einer Sauerstoffdiffusion durch ein Gatedielektrikum mit hohem k-Wert in einer Transistorgatestruktur gemäß dem Stand der Technik.
  • 2 veranschaulicht einen Transistor mit einer dünnen Sauerstoffdiffusionssperre als ein Beispiel, um das Verständnis der Erfindung zu erleichtern.
  • Die 3A3M veranschaulichen ein Verfahren zum Ausbilden eines Transistors mit einer dünnen Sauerstoffdiffusionssperre zum Verhindern einer seitlichen Sauerstoffdiffusion durch ein sauerstoffdurchlässiges Gatedielektrikum gemäß einer Ausführungsform der Erfindung.
  • Detaillierte Beschreibung
  • Im vorliegenden Text werden ein Verfahren und eine Vorrichtung zum Verhindern einer seitlichen Oxidation in Transistoren beschrieben. In der folgenden Beschreibung werden zahlreiche konkrete Details dargelegt. Einem Durchschnittsfachmann leuchtet jedoch ein, daß diese konkreten Details für die Praktizierung von Ausführungsformen der Erfindung nicht notwendig sind. Obgleich bestimmte beispielhafte Ausführungsformen in den begleitenden Zeichnungen beschrieben und gezeigt sind, versteht es sich, daß diese Ausführungsformen lediglich veranschaulichend sind und die vorliegende Erfindung nicht einschränken und daß diese Erfindung nicht auf die gezeigten und beschriebenen konkreten Konstruktionen und Anordnungen beschränkt ist, weil dem Durchschnittsfachmann durchaus Modifizierungen einfallen können. In anderen Fällen sind einschlägig bekannte Halbleiterfertigungsprozesse, -techniken, -materialien, -ausrüstung usw. nicht näher im Detail dargestellt worden, um Ausführungsformen der vorliegenden Erfindung nicht unnötig in den Hintergrund treten zu lassen.
  • Gemäß Ausführungsformen der im vorliegenden Text beschriebenen Erfindung werden ein Verfahren und eine Vorrichtung zum Ausbilden einer sauerstoffbeständigen Diffusionssperre an den Seiten eines Transistors beschrieben. Die sauerstoffbeständige Diffusionssperre verhindert, daß Sauerstoff seitlich in die Seitenwände eines Gatedielektrikums eindiffundiert, das einen sehr geringen Widerstand gegen Sauerstoffdiffusion aufweist, oder anders ausgedrückt: das eine hohe Durchlässigkeit für Sauerstoffdiffusion aufweist. Durch Verhindern einer seitlichen Diffusion von Sauerstoff wird das darunter befindliche Substrat oder die darüberliegende Gateelektrode vor unerwünschter Oxidation geschützt. Die Anmeldung ist insbesondere für Gatedielektrika mit hohem k-Wert vorteilhaft, weil die meisten Gatedielektrika mit hohem k-Wert hoch-durchlässig für Sauerstoffdiffusion sind. Folglich besteht ein Vorteil der sauerstoffbeständigen Diffusionssperre darin, daß Transistoren sehr dünne Gatedielektrika haben können und dennoch nicht von seitlicher Oxidation betroffen sind, die normalerweise bei Gatedielektrika mit hohem k-Wert auftritt. Infolge dessen können integrierte Schaltungen kleiner gestaltet werden, ohne Verluste bei der Leistung oder Zuverlässigkeit hinnehmen zu müssen.
  • 2 veranschaulicht einen Transistor 200 mit einer dünnen Sauerstoffdiffusionssperre 206 gemäß einer Ausführungsform der Erfindung. Wenden wir uns 2 zu, wo ein Transistor 200 auf einem Siliziumsubstrat 201 ausgebildet ist. Ein sauerstoffdurchlässiges Gatedielektrikum 202, wie zum Beispiel ein Dielektrikum mit hohem k-Wert, das hoch-durchlässig für Sauerstoffdiffusion ist, ist auf der Oberfläche des Substrats 201 ausgebildet, und eine Gateelektrode 204 ist wiederum auf dem sauerstoffdurchlässiges Gatedielektrikum 202 ausgebildet. Eine dünne Sauer stoffdiffusionssperre 206 ist auf den Seitenwänden der Gateelektrode 204 und auf den Seitenwänden des sauerstoffdurchlässigen Gatedielektrikums 202 ausgebildet. Der Transistor 200 enthält außerdem ein Paar dicker Seitenwandabstandshalter 208, die neben den äußeren Rändern der Sauerstoffdiffusionssperre 206 ausgebildet sind. Der Transistor 200 enthält ein Paar Source/Drain-Regionen 210, die jeweils ein Paar Spitzen oder Source/Drain-Verlängerungen 211 und eine Source/Drain-Kontaktregion 212 mit tiefliegender Grenzfläche umfassen.
  • Wir bleiben bei 2. Die dünne Sauerstoffdiffusionssperre 206 besteht aus einem Material, das hoch-beständig gegen die Diffusion von Sauerstoff ist. Herkömmlicherweise könnten während der Verarbeitung eines Transistors bestimmte Prozesse ausgeführt werden, die das sauerstoffdurchlässige Gatedielektrikum 202 unbeabsichtigt Sauerstoff aussetzen würden, gewöhnlich während eines Oxidationsprozesses oder über sonstige Prozesse, die Umgebungssauerstoff enthalten. Der Sauerstoff würde leicht das sauerstoffdurchlässige Gatedielektrikum 202 passieren und das darunter befindliche Substrat 201 oder die darüberliegende Gateelektrode 204 oxidieren. Die Oxidation würde unerwünschte Siliziumdioxidabscheidungen entstehen lassen, welche die Leistung des Transistors beeinträchtigen würden oder den Transistor sogar funktionsuntüchtig machen würden. Die dünne Sauerstoffdiffusionssperre 206 bedeckt und versiegelt jedoch die Seitenwände des sauerstoffdurchlässigen Gatedielektrikums 206 und verhindert die seitliche Diffusion von Sauerstoff in das sauerstoffdurchlässiges Gatedielektrikum 206.
  • 3A3M veranschaulichen ein Verfahren zum Ausbilden eines Transistors mit einer dünnen Sauerstoffdiffusionssperre zum Verhindern einer seitlichen Diffusion von Sauerstoff durch ein sauerstoffdurchlässiges Gatedielektrikum gemäß einer Ausführungsform der Erfindung. Wenden wir uns 3A zu, wo mehrere Feldisolationsregionen 302 in einen Substrat 300 ausgebildet sind. Der Begriff "Substrat" umfaßt einen Halbleiterwafer wie zum Beispiel monokristallines Silizium sowie Strukturen, die eine oder mehrere isolierende, halb-isolierende, leitfähige oder halb-leitfähige Schichten und Materialien aufweisen. So umfaßt zum Beispiel der Begriff Silizium-auf-Isolator, Silizium-auf-Saphir und sonstige höherentwickelte Strukturen. Die Isolationsregionen 302 können Flachgrabenisolationsregionen (Shallow Trench Isolation – STI) oder Tiefgrabenisolationsregionen sein, die durch Ätzen eines Grabens in das Substrat 300 und anschließendes Ausfüllen des Grabens mit einem abgeschiedenen Oxid-, Nitrid- oder sonstigen dielektrischen Material ausgebildet werden. Die Feldisolationsregionen 302 können auch unter Verwendung anderer Verfahren, wie zum Beispiel LOCOS-, vertieftes LOCOS- oder Silizium-auf-Isolator (Silicon on Insulator - SOI)-Verfahren, ausgebildet werden.
  • Die mehreren Isolationsregionen 302 isolieren eine Mulde 303 eines Leitfähigkeitstyps gegen Mulden 301 eines anderen Leitfähigkeitstyps. Zum Beispiel kann die Mulde 303 eine Region mit einer Leitfähigkeit vom p-Typ sein, während die Mulden 301 Regionen mit einer Leitfähigkeit vom n-Typ oder umgekehrt sein können. Eine Mulde mit einer Leitfähigkeit vom p-Typ kann in der Weise ausgebildet werden, daß man eine erste Implantierung von Boratomen in einer Menge von 3,0 × 1013/cm2 mit einer Energie von 230 keV und danach eine zweite Implantierung von Borionen in einer Menge von 4,2 × 1013/cm2 und mit einer Energie von 50 keV in das Substrat 300 vornimmt, um eine p-Mulde mit einer Konzentration von 7,0 . ⎕ 1017/cm3 zu erzeugen. Eine Mulde mit einer Leitfähigkeit vom n-Typ kann in der Weise ausgebildet werden, daß man eine erste Implantierung von Phosphoratomen in einer Menge von 4 . ⎕ 1013/cm2 und mit einer Energie von 475 keV, eine zweite Implantierung von Phosphoratomen in einer Menge von 2,5 . ⎕ 1012/cm2 mit einer Energie von 60 keV und eine abschließende Inplantierung von Arsenatomen in einer Menge von 1,1013/cm2 mit einer Energie von 180 keV in ein Siliziumsubstrat mit einer Konzentration von 1 . ⎕ 1016/cm3 vornimmt, um eine n-Mulde mit einer Konzentration vom n-Typ von ungefähr 7,0 . ⎕ 1017/cm3 zu erzeugen. Es versteht sich, daß Regionen mit einer Leitfähigkeit vom p-Typ und Regionen mit einer Leitfähigkeit vom n-Typ auch mit Hilfe anderer Mittel, die dem Durchschnittsfachmann vertraut sind, ausgebildet werden können.
  • Das Verfahren kann, wie in 3B gezeigt, in der Weise fortgesetzt werden, daß man eine Deckabscheidung einer elektrisch isolierenden ("dielektrischen") Schicht 304 auf dem Substrat 300 und auf den Isolationsregionen 302 ausführt, wobei die dielektrische Schicht 304 hoch-durchlässig für die Diffusion von Sauerstoff ist, oder anders ausgedrückt: eine sauerstoffdurchlässige dielektrische Schicht 304 ist. In einer Ausführungsform der Erfindung ist die sauerstoffdurchlässige dielektrische Schicht 304 ein dielektrisches Material mit hohem k-Wert und kann in einer Dicke zwischen 2 Å und 50 Å abgeschieden werden. Zu herkömmlichen Techniken für eine Deckabscheidung einer Schicht aus dielektrischem Material mit hohem k-Wert gehören die Sputterabscheidung oder Aufdampfungstechniken.
  • Der Begriff "mit hohem k-Wert" ist ein relativer Begriff, der sich auf ein Material mit einer Dielektrizitätskonstante (k) bezieht, die wesentlich höher ist als die von Siliziumdioxid (SiO2), oder anders ausgedrückt: wesentlich höher als k = 3,9. Zu beispielhaften Materialien mit hohem k-Wert, die bei der Herstellung integrierter Bauelemente verwendet werden, gehören Metalloxide (Al2O3, ZrO2, HfO2, TiO2, Y2O3, La2O3 usw.), ferroelektrische Materialien (PZT, BST usw.), amorphe Metallsilikate (Hf, Zr), amorphe Silikatoxide (HfO2, ZrO2) und paraelektrische Materialien (BaxSr1- xTiO3, PbZrxTi1-xO3).
  • Dielektrika mit hohen k-Werten eignen sich bestens zur Ausbildung von Transistoren aufgrund der effektiven elektrisch isolierenden Eigenschaften des Materials mit hohem k-Wert im Vergleich zu seiner physischen Dicke. Die hohen dielektrischen Qualitäten machen es möglich, dielektrische Materialien mit hohem k-Wert sehr dünn abzuscheiden, wobei sie aber immer noch eine sehr gute effektive elektrische "Dicke" aufweisen – in vielen Fällen viel größer als die effektive elektrische Dicke von SiO2 bei einer äquivalenten physischen Dicke.
  • Trotz der vielen Vorteile der Verwendung eines Dielektrikums mit hohem k-Wert bei der Ausbildung eines Transistors haben jedoch viele Klassen von Dielektrika mit hohen k-Werten einen bedauerlichen Nachteil: Sie sind hoch-durchlässig für Sauerstoffdiffusion, oder anders ausgedrückt: Sauerstoffmoleküle (oder Moleküle von Sauerstoffverbindungen) können leicht die Poren in dem dielektrischen Material mit hohem k-Wert passieren. Folglich kann – gemäß einer Ausführungsform der Erfindung – die sauerstoffdurchlässige dielektrische Schicht 304 synonym als ein Dielektrikum mit hohem k-Wert bezeichnet werden. Jedoch können andere Ausführungsformen der Erfindung auch andere dielektrische Materialien verwenden, bei denen es sich nicht unbedingt um Materialien mit hohem k-Wert handelt, sondern die immer noch hoch-durchlässig für Sauerstoffdiffusion sind.
  • Das Verfahren kann, wie in 3C gezeigt, mit einer Deckabscheidung eines elektrisch leitfähigen Materials 306 über die sauerstoffdurchlässige dielektrische Schicht 304 auf eine mit der Technologie skalierte Dicke fortgesetzt werden. In einer Ausführungsform der Erfindung ist das elektrisch leitfähige Material 306 polykristallines Silizium oder Polysilizium und wird auf eine Dicke zwischen ungefähr 600 Å und 2000 Å, bevorzugt 1600 Å, abgeschieden. Herkömmliche Polysiliziumdeckabscheidungsprozesse sind einschlägig bekannt, darunter chemische Dampfabscheidung (Chemical Vapour Deposition – CVD) und physikalische Dampfabscheidung (Physical Vapour Deposition – PVD). Solche Abscheidungsprozesse finden in der Regel bei Temperaturen im Bereich von 600 bis 650°C statt. Jedoch können sich diese Abscheidungsprozesse auch bei höheren Temperaturen vollziehen. Silan- oder Gasströme, die N2 oder H2 zu 100 % enthalten, können in dem Polysiliziumabscheidungsprozeß verwendet werden. Die elektrisch leitfähige Schicht 306 kann vor oder nach der Strukturierung auf den gewünschten Leitfähigkeitstyp und die gewünschte Tiefe ionenimplantiert werden. In anderen Ausführungsformen der Erfindung können andere Materialien in Verbindung mit oder anstelle von Polysilizium verwendet werden, wie zum Beispiel Metall, Metallegierung und Metalloxid, einzelkristallines Silizium, amorphes Silizium, Silizid oder sonstige einschlägig bekannte Materialien zum Ausbilden einer Gateelektrode.
  • Das Verfahren kann mit dem Ausbilden einer Hartmaske 312 auf der elektrisch leitfähigen Schicht 306 fortgesetzt werden, wie in den 3D3E gezeigt. Verschiedene einschlägig bekannte Verfahren können zum Ausbilden einer Hartmaske auf einer elektrisch leitfähigen Schicht 306 verwendet werden. In der in 3D gezeigten Ausführungsform der Erfindung kann die Hartmaske 312 durch Abscheiden einer Hartmaskenschicht 308, wie zum Beispiel einer Nitridschicht, mittels einer chemischen Dampfabscheidung (CVD) über der elektrisch leitfähigen Schicht 306 ausgebildet werden. Dann kann ein typischer Photolithographieprozeß ausgeführt werden, einschließlich der bekannten Schritte des Maskierens, Belichtens und Entwickelns einer Photoresistschicht, um eine Photoresistmaske 310 auszubilden, wie in 3D gezeigt. Dann wird, wie in 3E gezeigt, die Struktur der Photoresistmaske 310 auf die Maskierungsschicht 308 übertragen, indem die Maskierungsschicht 308 bis zur Oberseite der elektrisch leitfähigen Schicht 306 geätzt wird, wobei die Photoresistmaske 310 zum Ausrichten der Ätzung benutzt wird, wodurch eine Hartmaske 312 über der elektrisch leitfähigen Schicht 306 ausgebildet wird.
  • Das Verfahren wird, wie in 3F gezeigt, fortgesetzt, indem die Photoresistmaske 310 entfernt wird und die elektrisch leitfähige Schicht 306 bis hinab zur Oberseite der sauerstoffdurchlässigen dielektrischen Schicht 304 geätzt wird, wobei die Hartmaske 312 zum Ausrichten der Ätzung benutzt wird, wodurch eine leitfähige Struktur 318, auch als eine Gateelektrode oder ein Steueranschluß bekannt, unter der Hartmaske 312 gebildet wird. Das Ätzmittel 316 soll die elektrisch leitfähige Schicht 306 ätzen, aber nicht die Hartmaske 312 oder die sauerstoffdurchlässige dielektrische Schicht 304. Um ein seitliches Ätzen in die Seitenwände der Gateelektrode 318 hinein zu verhindern, ist eine Trockenätzung unter Verwendung einer Chemie auf Chlorbasis bevorzugt. Dann kann eine Heißphosphorätzung ausgeführt werden, um die Hartmaske 312 zu entfernen.
  • Als nächstes kann das Verfahren, wie in 3G gezeigt, fortgesetzt werden, indem die sauerstoffdurchlässige dielektrische Schicht 304 bis zur Oberseite des Substrats 300 und bis zur Oberseite der Isolationsregionen 302 geätzt wird. Die Ätzchemie ist so einzustellen, daß die sauerstoffdurchlässige dielektrische Schicht 304 geätzt wird, aber weder das Substrat 300, noch die Gateelektrode 318, noch die Isolationsregionen 302 geätzt werden. Nach dem Ätzen bleibt ein Abschnitt 319 der sauerstoffdurchlässigen dielektrischen Schicht 304 direkt unter der Gateelektrode 318 zurück. Dieser Abschnitt 319 der dielektrischen Schicht 304 ist auch als ein Gatedielektrikum bekannt und ist das gleiche Material wie die sauerstoffdurchlässige dielektrische Schicht 304. Der Abschnitt 319 der sauerstoffdurchlässigen dielektrischen Schicht unter der Gateelektrode 318 wird im vorliegenden Text als ein "Gatedielektrikum" oder ein "sauerstoffdurchlässiges Gatedielektrikum" bezeichnet. In einer Ausführungsform der Erfindung, wie oben eingehender beschrieben, umfaßt das sauerstoffdurchlässige Gatedielektrikum 319 ein dielektrisches Material mit hohem k-Wert. Die Gateelektrode 318 richtet die Ätzung so aus, daß die Seitenwände des sauerstoffdurchlässigen Gatedielektrikums 319 vertikal auf die Seitenwände der Gateelektrode 318 ausgerichtet sind. Um das Risiko zu minimieren, daß das Substrat geätzt wird, kann einer Naßätzung der Vorzug gegenüber einer Trockenätzung gegeben werden. In einer Ausführungsform der Erfindung kann eine beispielhafte Ätzchemie eine 50:1-HF-Ätzung von 40 Sekunden Dauer enthalten, oder bis die sauerstoffdurchlässige dielektrische Schicht 304 vollständig von der Oberfläche der Isolationsregionen 302 und von der Oberfläche des Substrats 300 entfernt wurde, außer direkt unter der Gateelektrode 318. Aufgrund der hohen Diffundierbarkeit des sauerstoffdurchlässigen Gatedielektrikums 319 ist beim Ätzen der sauerstoffdurchlässigen dielektrischen Schicht 304 sorgfältig darauf zu achten, daß kein Sauerstoff eingetragen wird, sei es direkt oder als Teil des Prozesses, weil das sauerstoffdurchlässige Gatedielektrikum 319 freigelegt wird und der Sauerstoff seitlich in das sauerstoffdurchlässige Gatedielektrikum 319 eindiffundieren würde.
  • Die Gateelektrode 318 und das sauerstoffdurchlässige Gatedielektrikum 319 bilden zusammen eine Verbundstruktur 324, die mitunter als eine Gatestruktur oder ein Gate eines integrierten Bauelements, wie zum Beispiel eines Transistors, bekannt ist. Wie in 3G gezeigt, beginnen die Seitenwände der Gatestruktur 324 am oberen Rand 320 der Gateelektrode 319 und erstrecken sich abwärts entlang der gesamten Länge der Seitenwände sowohl der Gateelektrode 318 als auch des sauerstoffdurchlässigen Gatedielektrikums 319.
  • Als nächstes wird, wie in 3H gezeigt, eine dünne sauerstoffbeständige Schicht 326 als Deckabscheidung über die Oberseite der Gatestruktur 324 und entlang der gesamten Länge der Seiten der Gatestruktur 324 aufgebracht, einschließlich auf (in Kontakt mit) der gesamten Länge der Seitenwände der Gateelektrode 318 und des Gatedielektrikums 319. Gleichzeitig wird die dünne sauerstoffbeständige Schicht 326 auf allen freiliegenden Abschnitten des Substrats 300 oder der Isolationsregionen 302 abgeschieden. Die sauerstofflieständige Schicht 326 ist ein Material, das gegen die Diffusion von Sauerstoff beständig sein muß und auch keine Sauerstoffmoleküle enthält, die grenzflächig in das angrenzende sauerstoffdurchlässige Gatedielektrikum 319 diffundieren können. Anders ausgedrückt: Die sauerstoffbeständige Schicht 326 ist hoch-beständig gegen Sauerstoffdiffusion und ist frei von diffusionsfähigem Sauerstoff. Ein beispielhaftes Material für die sauerstoffbeständige Schicht 326 ist ein Nitrid wie zum Beispiel Siliziumnitrid, Bornitrid, Magnesiumnitrid usw., das mit einem beliebigen einschlägig bekannten Prozeß des Abscheidens eines dünnen Nitridfilms ausgebildet wird. Zu beispielhaften Deckabscheidungsprozessen gehören ein Bistertiärbutylaminosilan (BTBAS)-Prozeß, ein Atomschichtabscheidungs (Atomic Layer Deposition – ALD)-Prozeß oder ein Heißwandprozeß (Ammoniak + Silan).
  • Während der Ausbildung der dünnen sauerstoffbeständigen Schicht 326 ist – genau wie während des oben beschriebenen Ätzens der sauerstoffdurchlässigen dielektrischen Schicht 304 – darauf zu achten, daß kein Sauerstoff in den Prozeß eingetragen wird, wenn das sauerstoffdurchlässige Gatedielektrikum 319 während der Strukturierung der sauerstoffdurchlässigen dielektrischen Schicht 304 und während der Ausbildung der dünnen sauerstoffbeständigen Schicht 326 freigelegt werden kann. Ein Kontakt mit Sauerstoff kann wesentlich begrenzt werden, indem man das gesamte Verfahren oder einen Teil des Verfahrens in einer im Wesentlichen sauerstofffreien Umgebung wie zum Beispiel einem Vakuum ausführt. Jedoch können selbst bei größter Vorsicht winzige Mengen Umgebungssauerstoff unbeabsichtigt während des Prozesses eingetragen werden und können seitlich in die sauerstoffdurchlässige dielektrische Schicht 304 diffundieren. Darum kann das Verfahren zum Abscheiden der dünnen sauerstoffbeständigen Schicht 326 weiter so optimiert werden, um eine seitliche Oxidation zu verhindern, oder anders ausgedrückt: um eine Oxidation auf dem Bereich 327 des Substrats 300 unter dem sauerstoffdurchlässigen Gatedielektrikum 319 durch seitliche Diffusion von Sauerstoff zu verhindern. Zum Beispiel kann ein Niedrigtemperaturverfahren zum Abscheiden der sauerstoffbeständigen Schicht 326 vorteilhaft sein, weil es bei niedrigen Temperaturen (zum Beispiel weniger als ungefähr 650°C) mit geringerer Wahrscheinlichkeit zu einer Oxidation des Substrats 300 kommt. Die geringe Temperatur senkt das Risiko selbst einer geringfügigen Oxidation auf dem Abschnitt 327 des Substrats 300, wenn unbeabsichtigt auf irgend eine Weise ein geringer Anteil Sauerstoff eingetragen wird. Ein BTBAS-Prozeß ist vorteilhaft, weil er im Vergleich zu einigen anderen Verfahren, wie zum Beispiel einem Heißwandprozeß, der in der Regel bei einer Temperatur von ungefähr 800°C ausgeführt wird, bei einer geringen Temperatur – zwischen ungefähr 550°C und 650°C – ausgeführt werden kann. Darum kann in einer Ausführungsform der Erfindung ein BTBAS-Prozeß verwendet werden, um die sauerstoffbeständige Schicht 326 abzuscheiden.
  • Ein beispielhafter BTBAS-Prozeß ist ein CVD-Prozeß, der Folgendes beinhalten kann: Zuerst werden wenigstens die Oberfläche des Substrats 300, die Seiten des sauerstoffdurchlässigen Gatedielektrikums 319 und die Seiten und die Oberseite der Gateelektrode 318 auf eine Temperatur zwischen ungefähr 500°C und 650°C, bevorzugt 600°C, erwärmt. Dann läßt man bei einem Druck von ungefähr 600 Torr gleichzeitig molekularen Stickstoff (N2) mit ungefähr 2000 Standardkubikzentimetern je Sekunde (sccm), Ammoniak (NH3) mit ungefähr 200 sccm und BTBAS mit ungefähr 800 Milligramm je Minute (mgm) strömen. Das N2, NH3 und BTBAS verbinden sich zu Siliziumnitrid mit einer Rate von ungefähr 1 Å je Sekunde. Der BTBAS-Prozeß dichtet die sauerstoffbeständige Schicht 326 hermetisch gegen die Seiten der Gateelektrode 318 und das Gatedielektrikum 319 ab.
  • Ein Durchschnittsfachmann erkennt, daß in einigen Ausführungsformen der Erfindung auch andere Niedrigtemperaturprozesse zum Abscheiden der dünnen sauerstoffbeständigen Schicht 326 vorteilhaft verwendet werden können. Gleichzeitig ist es wichtig anzumerken, daß ein Niedrigtemperaturprozeß vorteilhaft ist, um die Oxidation des Bereichs 327 zu begrenzen, falls auf irgend eine Weise etwas Sauerstoff seitlich in das sauerstoffdurchlässiges Gatedielektrikum 319 diffundiert ist; aber es können auch Hochtemperaturverfahren zum Abscheiden der sauerstoffbeständigen Schicht 326 verwendet werden, wenn kein Sauerstoff seitlich in das sauerstoffdurchlässige Gatedielektrikum 319 diffundiert ist. Des Weiteren können Hochtemperaturverfahren auch verwendet werden, wenn nur eine geringe Menge Sauerstoff seitlich in das sauerstoffdurchlässige Gatedielektrikum 310 diffundiert ist, weil eine geringe Oxidation bis zu einem gewissen Grad akzeptabel sein kann.
  • In einer Ausführungsform der Erfindung ist die dünne sauerstoffbeständige Schicht 326 in einer Dicke abzuscheiden, die ausreicht, daß sie als eine Diffusionssperre für Sauerstoff agiert, um zu verhindern, daß Sauerstoff während nachfolgender Prozesse seitlich in die Seitenwände des sauerstoffdurchlässigen Gatedielektrikums 319 diffundiert. Jedoch darf die dünne sauerstoffbeständige Schicht 326 nicht so dick abgeschiedenen werden, daß sie die anschließende Ausbildung von Spitzenimplantaten behindert, wie weiter unten noch näher beschrieben wird.
  • Darum wird in einer Ausführungsform der Erfindung die dünne sauerstoffbeständige Schicht 326 ungefähr zwischen 2 Å und 300 Å abgeschieden.
  • Als nächstes wird, wie in 3I gezeigt, die dünne sauerstoffbeständige Schicht 326 mittels einer im Wesentlichen vertikalen oder anisotropen Ätztechnik geätzt. Eine anisotrope Ätztechnik arbeitet mit einer Ätzchemie, die überwiegend in der vertikalen (anisotropen) Richtung ätzt, obgleich es auch zu einer geringen Menge an horizontaler (isotroper) Ätzung kommen kann. Eine beispielhafte Chemie für die Ätzung kann eine standardmäßige Nitridätzung mit einer Kohlenstofftetraflourid (CF4)-Chemie sein (zum Beispiel CF4H2 oder CF4 + O2 in einem Plasmagenerator). Die anisotrope Ätzung entfernt die dünne sauerstoffbeständige Schicht 326 von der Oberseite der Gateelektrode 318 sowie von der Oberseite der Isolationsregionen 302 und vom größten Teil der Oberseite des Substrats 300, außer unmittelbar zu beiden Seiten der Gatestruktur 324. Die anisotrope Ätzung läßt jedoch einen Abschnitt 330 der sauerstoffbeständigen Schicht 326 entlang der gesamten Länge der Seitenwände sowohl der Gateelektrode 318 als auch des sauerstoffdurchlässigen Gatedielektrikums 319 zurück.
  • Der Abschnitt 330 kann als ein "dünner sauerstoffbeständiger Abstandshalter" bezeichnet werden, weil er ähnlich aussieht wie herkömmliche dicke Abstandshalter, die in der Regel während der Herstellung eines Transistors ausgebildet werden. Jedoch besteht die typische Funktion eines dicken Abstandshalters darin, ein vertikales Dotieren von Fremdstoffen in bestimmte Regionen des Substrats zu verhindern, wohingegen die Funktion des Abschnitts 330, der auf den Seitenwänden zurückbleibt, darin besteht, die seitliche Diffusion von Sauerstoff in das sauerstoffdurchlässige Gatedielektrikum 319 zu verhindern. Außerdem sind typische dicke Abstandshalter nicht unbedingt sauerstoffbeständig, können grenzflächig diffusionsfähigen Sauerstoff enthalten und sind viel dicker ausgebildet. Folglich wird im vorliegenden Text der Abschnitt 330, der auf den Seitenwänden zurückbleibt, als eine "dünne Sauerstoffdiffusionssperre" bezeichnet, weil es eine ihrer Funktionen ist, als eine Sperre gegen eine Sauerstoffdiffusion zu agieren.
  • Die dünne Sauerstoffdiffusionssperre 330 bedeckt die Seitenwände des sauerstoffdurchlässigen Gatedielektrikums 319 und dichtet sie hermetisch ab. Wenn die dünne Sauerstoffdiffusionssperre 330 nicht vorhanden wäre, so würde Sauerstoff (O2, O3 usw.), der direkt während eines nachfolgenden Prozesses einwirkt, oder Umgebungssauerstoff, der in der Atmosphäre vorhanden ist, seitlich durch feine Poren in dem sauerstoffdurchlässigen Gatedielektrikum 319 und in das Siliziumsubstrat 300 unter dem sauerstoffdurchlässigen Gatedielektrikum 319 dringen und das Siliziumsubstrat in dem Kanalbereich 327 oxidieren, wodurch Siliziumdioxidablagerungen entstehen. Die Siliziumdioxidablagerungen würden die letztendliche Leistung des integrierten Bauelements beeinträchtigen, indem sie den Stromfluß durch den Kanal 327 stören. Gleichzeitig würde ohne die dünne Sauerstoffdiffusionssperre 330 Sauerstoff seitlich in das sauerstoffdurchlässige Gatedielektrikum 319 und in die angrenzende Gateelektrode 318 direkt oberhalb des sauerstoffdurchlässigen Gatedielektrikums 319 diffundieren. Wenn die Gateelektrode 318 aus einem Material besteht, daß oxidieren kann, wie zum Beispiel Polysilizium, so können sich Siliziumdioxidablagerungen auch an der Grenzfläche zwischen der Gateelektrode 318 und dem Gatedielektrikum 319 bilden. Da Siliziumdioxid ein Dielektrikum ist, würde die Entstehung von Siliziumdioxidablagerungen in dem Substrat 300 oder in der Gateelektrode 318 die physische Dicke des Gatedielektrikums 319 vergrößern. Da Siliziumdioxid im Vergleich zu dem sauerstoffdurchlässigen Gatedielektrikum 319 einen relativ niedrigen dielektrischen k-Wert hat, würde der effektive elektrische k-Wert des Gatedielektrikums 319 drastisch abnehmen, wodurch die nützlichen Effekte des Einsatzes eines dielektrischen Materials mit hohem k-Wert im Wesentlichen verschwinden würden.
  • Ein weiterer Vorteil der dünnen Sauerstoffdiffusionssperre 330 ist, daß die Ränder der Gateelektrode 318, welche die sauerstoffbeständigen Abstandshalter 330 berühren, als eine Mulde versiegelt sind. Die Versiegelung der dünnen Sauerstoffdiffusionssperre 330 an den Rändern der Gateelektrode 318 und an den Rändern des sauerstoffdurchlässigen Gatedielektrikums 319 hilft, die Heißelektronenlebensdauer des Transistors zu verlängern.
  • Unmittelbar nach dem Ausbilden der Sauerstoffdiffusionssperre wird das Verfahren, wie in 3J gezeigt, mit dem Ausbilden von Spitzen 340 oder flachen Source/Drain-Verlängerungen mittels eines Spitzenimplantierungsprozeß fortgesetzt. Es können zahlreiche einschlägig bekannte Techniken zum Ausbilden der Spitzen 340 in dem Substrat 300 verwendet werden. In einer Ausführungsform der Erfindung wird das Substrat 300 mit Ionen dotiert, deren Leitfähigkeit der Leitfähigkeit der Mulde 303 entgegengesetzt ist. Wenn zum Beispiel die Mulde 303 eine Leitfähigkeit vom p-Typ hätte, dann würde das Spitzenimplantieren das Implantieren von Ionen mit einer Leitfähigkeit vom n-Typ, wie zum Beispiel Arsenionen, in die Oberseite des Substrats 300 beinhalten, um herkömmliche N-Spitzen-Regionen auszubilden. Wenn hingegen die Mulde 303 eine Leitfähigkeit vom n-Typ hätte, dann würde das Spitzenimplantieren das Implantieren von Ionen mit einer Leitfähigkeit vom p-Typ, wie zum Beispiel Borionen, in die Oberseite des Substrats 300 beinhalten, um herkömmliche P-Spitzen-Regionen auszubilden. Die Gateelektrode 318 schützt die Substratregion unterhalb des Gatedielektrikums 319 vor einer Implantierung von Ionen.
  • Die Menge der Implantation ist geringer als die, die zum Ausbilden von tiefen Source/Drain-Grenzflächen verwendet wird, wie weiter unten noch näher beschrieben wird. Zum Beispiel können in einer Ausführungsform der Erfindung die Ionen mit einer Leitfähigkeit vom n-Typ in einer Menge im Bereich von ungefähr 1 . ⎕ 1015 Ionen/cm2 abgeschieden werden. Um zu gewährleisten, daß die Spitzen 340 auf eine flache Tiefe ausgebildet werden, sollte die Implantierungsenergie überdies niedrig sein, zum Beispiel um die 10 keV.
  • In einer Ausführungsform der Erfindung werden die Ionen in einem direkt vertikalen Winkel (90°) implantiert, wodurch die Spitzen 340 gebildet werden, die auf die äußeren Ränder der Sauerstoffdiffusionssperre 330 ausgerichtet sind. Dann kann ein Ausheilen mittels eines schnellen thermischen Prozesses (Rapid Thermal Process – RTP) durchgeführt werden, um die Spitzen 340 unter die Sauerstoffdiffusionssperre 340 und teilweise unter das sauerstoffdurchlässige Gatedielektrikum 319 zu schieben.
  • Einem Durchschnittsfachmann ist jedoch klar, daß auch andere Techniken ausgeführt werden können, um die flachen Spitzen 340 in einem anderen Winkel als 90° zu implantieren. Eine winkelige Ionenimplantation kann eine geringfügig höhere Implantierungsenergie erfordern, da der Winkel der Ionenimplantation es erfordern kann, daß die Ionen durch die unteren Abschnitte der Sauerstoffdiffusionssperre 340 oder des sauerstoffdurchlässigen Gatedielektrikums 319 implantiert werden müssen, um das Substrat zu erreichen, das sich unter der Sauerstoffdiffusionssperre 340 oder dem sauerstoffdurchlässigen Gatedielektrikum 319 befindet.
  • Als nächstes wird, wie in den 3K3L gezeigt, das Verfahren fortgesetzt, indem dicke Abstandshalter 344 neben den äußeren Seitenwänden der dünnen Sauerstoffdiffusionssperre 330 so ausgebildet werden, daß die dicken Abstandshalter 344 die äußeren Seitenwände der dünnen Sauerstoffdiffusionssperre 330 berühren. Die dicken Abstandshalter 344 können aus Siliziumnitrid bestehen oder können eine Kombination aus Siliziumnitrid und Siliziumoxid sein. In einer Ausführungsform der Erfindung sind die dicken Abstandshalter 344 ein Oxid/Nitrid/Oxid (ONO)-Verbund, der durch einen Prozeß der schnellen thermischen Oxidation gebildet wird. In einer weiteren Ausführungsform der Erfindung sind die dicken Abstandshalter 344 ein Nitrid/Oxid-Verbund. Die Nitrid/Oxid-Abstandshalter können durch Deckabscheidung einer dünnen Oxidschicht 341 von ungefähr 50–100 Å über den Isolationsregionen 302, den Spitzenimplantaten 340, der dünnen Sauerstoffdiffusionssperre 330 und der Gateelektrode 318 ausgebildet werden, wie in 3K gezeigt. Die Deckabscheidung der Oxidschicht 341 kann einen beliebigen einschlägig bekannten CVD-Prozeß beinhalten, einschließlich eines BTBAS-Prozesses. Es ist von Vorteil, die Abscheidungstemperatur niedrig zu halten, bevorzugt bei 650°C, so daß die Wärmeenergie nicht die implantierten Spitzen 340 stört. Als nächstes wird eine 500–1800 Å dicke Siliziumnitridschicht 342 auf der Oxidschicht 341 abgeschieden. Die Siliziumnitridschicht kann mittels standardmäßiger CVD-Abscheidungsverfahren ausgebildet werden, einschließlich BTBAS-Abscheidungstechniken. Auch hier ist es von Vorteil, die Abscheidungstemperatur niedrig zu halten. Dann werden die Siliziumnitridschicht 342 und die Oxidschicht 341 anisotrop geätzt, um die dicken Abstandshalter 344 auszubilden, wie in 3L gezeigt. Die dicken Abstandshalter 344 gewährleisten, daß eine anschließende Tiefenimplantierung nicht die Spitze 340 unter den dicken Abstandshaltern 344 behindert. Folglich sind die dicken Abstandshalter 344 breit und dick genug auszubilden, um zu verhindern, daß die anschließende hoch-dosierte Ionen-Tiefenimplantation, die unten in 3M beschrieben wird, den Abschnitt der flachen Spitze 340 unter den dicken Abstandshaltern 344 überflutet.
  • Als nächstes wird, wie in 3M gezeigt, das Substrat 300 dem Prozeß einer hochdosierten Ionen-Tiefenimplantation unterzogen, um Source/Drain-Regionen 348 mit tiefliegender Grenzfläche in der Muldenregion 303 auszubilden. Die Tiefenimplantierung beinhaltet das Implantieren von Ionen mit Störatomen vom gleichen Leitfähigkeitstyp, wie sie zum Ausbilden der Spitzen 340 verwendet wurden. Wenn zum Beispiel die Mulde 303 eine Leitfähigkeit vom p-Typ hat, so wird ein Ion vom n-Typ implantiert, während für eine Mulde mit einer Leitfähigkeit vom n-Typ ein Ion vom p-Typ implantiert wird. Zu beispielhaften Ionen vom n-Typ gehören Arsen und Phosphor, während zu beispielhaften Ionen vom p-Typ Bor gehört. Gleichzeitig kann, wenn die elektrisch leitfähige Gateelektrode 318 Polysilizium umfaßt, der Tiefenimplantierungsprozeß dafür verwendet werden, das Polysilizium in der Gateelektrode zu dotieren, wenn es nicht schon zuvor dotiert wurde. In einer Ausführungsform der Erfindung werden die Tiefenimplantate 348 auf eine Konzentration zwischen 1 . ⎕ 1019/cm3 und 5 . ⎕ 1020/cm3 und eine Tiefe von ungefähr 0,15–0,25 Mikrometern ausgebildet. Es kann eine Aktivierungsausheilung ausgeführt werden, um die Spitzen 340 und die Tiefenimplantate 348 zu aktivieren. Die Ausheilung kann mit einem RTP bei einer Temperatur zwischen 900°C und 1200°C, bevorzugt 1050°C, über eine Dauer von ungefähr 10–300 Sekunden, bevorzugt 20 Sekunden, in einer Stickstoffatmosphäre ausgeführt werden.
  • Es wurden nun verschiedene Ausführungsformen der Erfindung beschrieben. Dem Fachmann ist jedoch klar, daß die Erfindung nicht auf die beschriebenen Ausführungsformen beschränkt ist, sondern mit Modifizierungen und Änderungen innerhalb des Geltungsbereichs der folgenden angehängten Ansprüche praktiziert werden kann.

Claims (6)

  1. Transistor, der Folgendes umfaßt: ein sauerstoffdurchlässiges Gatedielektrikum (319), das über einem Substrat angeordnet ist; eine elektrisch leitfähige Gateelektrode (318) über dem sauerstoffdurchlässigen Gatedielektrikum (319), wobei das sauerstoffdurchlässige Gatedielektrikum (319) Seitenwände aufweist; eine relativ dünne sauerstoffbeständige Diffusionssperre (330) mit einer Dicke zwischen 0,2 nm und 30 nm, welche die gesamte Seitenwandlänge des Gatedielektrikums (319) bedeckt, wobei die dünne sauerstoffbeständige Diffusionssperre (330) frei von diffusionsfähigem Sauerstoff ist; wobei die dünne sauerstoffbeständige Diffusionssperre (330) dazu dient zu verhindern, daß Sauerstoff seitlich in das sauerstoffdurchlässige Gatedielektrikum (319) diffundiert; ein Paar relativ dicker Seitenwandabstandshalter (344); und Source/Drain-Regionen (348) und Source/Drain-Verlängerungen (340), die unter den dicken Seitenwandabstandshaltern (344) angeordnet sind; dadurch gekennzeichnet, daß jeder des Paares relativ dicker Seitenwandabstandshalter (344) aus zwei Schichten gebildet ist, wobei eine Schicht (342) mit einer Dicke zwischen 50 nm und 180 nm Siliziumnitrid umfaßt und eine Schicht (341) mit einer Dicke zwischen 5 nm und 10 nm Siliziumoxid umfaßt und wobei die Oxidschicht (341) neben – und in Kontakt mit – den äußeren Rändern der relativ dünnen sauerstoffbeständigen Diffusionssperre (330) und neben – und in Kontakt mit – dem Substrat ausgebildet ist, wobei die Source/Drain-Verlängerungen (340) unter der Oxidschicht (341) angeordnet sind und die Oxidschicht (341) die Source/Drain-Verlängerungen von der Nitridschicht (342) trennt.
  2. Vorrichtung nach Anspruch 1, wobei es sich bei dem sauerstoffdurchlässigen Gatedielektrikum um ein dielektrisches Material mit hohem k-Wert handelt.
  3. Vorrichtung nach Anspruch 1 oder 2, wobei die elektrisch leitfähige Gateelektrode aus Polysilicium besteht.
  4. Vorrichtung nach einem der Ansprüche 1 bis 3, wobei die relativ dünne sauerstoffbeständige Diffusionssperre ein Nitridmaterial umfaßt.
  5. Vorrichtung nach einem der Ansprüche 2 bis 4, wobei das Gatedielektrikum mit hohem k-Wert eine Dielektrizitätskonstante aufweist, die wesentlich größer ist als die von Siliziumdioxid.
  6. Vorrichtung nach einem der Ansprüche 2 bis 5, wobei das Gatedielektrikum mit hohem k-Wert ein Material aus der Gruppe umfaßt, die aus Metalloxiden, ferroelektrischen Materialien, amorphen Metallsilikaten und Silikatoxiden und paraelektrischen Materialien besteht.
DE60312467T 2002-08-14 2003-07-31 Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere Expired - Lifetime DE60312467T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US219726 2002-08-14
US10/219,726 US20040033677A1 (en) 2002-08-14 2002-08-14 Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
PCT/US2003/024108 WO2004017393A2 (en) 2002-08-14 2003-07-31 Prevention of lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier

Publications (2)

Publication Number Publication Date
DE60312467D1 DE60312467D1 (de) 2007-04-26
DE60312467T2 true DE60312467T2 (de) 2007-12-13

Family

ID=31714786

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60312467T Expired - Lifetime DE60312467T2 (de) 2002-08-14 2003-07-31 Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere

Country Status (8)

Country Link
US (3) US20040033677A1 (de)
EP (1) EP1433196B1 (de)
CN (2) CN1917150A (de)
AT (1) ATE357056T1 (de)
AU (1) AU2003261334A1 (de)
DE (1) DE60312467T2 (de)
TW (1) TW200415732A (de)
WO (1) WO2004017393A2 (de)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7157341B2 (en) * 2004-10-01 2007-01-02 International Business Machines Corporation Gate stacks
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7226831B1 (en) * 2005-12-27 2007-06-05 Intel Corporation Device with scavenging spacer layer
US7407851B2 (en) * 2006-03-22 2008-08-05 Miller Gayle W DMOS device with sealed channel processing
US20070262399A1 (en) * 2006-05-10 2007-11-15 Gilbert Dewey Sealing spacer to reduce or eliminate lateral oxidation of a high-k gate dielectric
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7834382B2 (en) * 2007-01-05 2010-11-16 Macronix International Co., Ltd. Nitride read-only memory cell and method of manufacturing the same
US7955926B2 (en) * 2008-03-26 2011-06-07 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US8193586B2 (en) * 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US9263276B2 (en) 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
US8450834B2 (en) * 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120080749A1 (en) * 2010-09-30 2012-04-05 Purtell Robert J Umos semiconductor devices formed by low temperature processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8642371B2 (en) * 2011-04-06 2014-02-04 Shamsoddin Mohajerzadeh Method and system for fabricating ion-selective field-effect transistor (ISFET)
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
CN103050540B (zh) 2012-12-20 2016-03-30 电子科技大学 使用高介电常数槽结构的低比导通电阻的横向功率器件
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
FR3013895B1 (fr) * 2013-11-25 2017-04-14 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9466492B2 (en) * 2014-05-02 2016-10-11 International Business Machines Corporation Method of lateral oxidation of NFET and PFET high-K gate stacks
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN109727864A (zh) * 2017-10-30 2019-05-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109994429B (zh) * 2017-12-29 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
CN110364476B (zh) * 2018-04-09 2022-03-22 无锡华润上华科技有限公司 一种半导体器件的制造方法
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5573964A (en) * 1995-11-17 1996-11-12 International Business Machines Corporation Method of making thin film transistor with a self-aligned bottom gate using diffusion from a dopant source layer
JP3684849B2 (ja) * 1997-06-17 2005-08-17 セイコーエプソン株式会社 Mis型電界効果トランジスタを含む半導体装置及びその製造方法
TW472398B (en) * 1997-06-27 2002-01-11 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US6172407B1 (en) * 1998-04-16 2001-01-09 Advanced Micro Devices, Inc. Source/drain and lightly doped drain formation at post interlevel dielectric isolation with high-K gate electrode design
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6110784A (en) * 1998-07-28 2000-08-29 Advanced Micro Devices, Inc. Method of integration of nitrogen bearing high K film
US6281075B1 (en) * 1999-01-27 2001-08-28 Sandisk Corporation Method of controlling of floating gate oxide growth by use of an oxygen barrier
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP2001210726A (ja) * 2000-01-24 2001-08-03 Hitachi Ltd 半導体装置及びその製造方法
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6383873B1 (en) * 2000-05-18 2002-05-07 Motorola, Inc. Process for forming a structure
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
US20030020111A1 (en) * 2001-07-16 2003-01-30 Bevan Malcolm J. Economic and low thermal budget spacer nitride process

Also Published As

Publication number Publication date
US20080014730A1 (en) 2008-01-17
CN1282993C (zh) 2006-11-01
TW200415732A (en) 2004-08-16
CN1917150A (zh) 2007-02-21
ATE357056T1 (de) 2007-04-15
US20040033678A1 (en) 2004-02-19
WO2004017393A2 (en) 2004-02-26
AU2003261334A8 (en) 2004-03-03
DE60312467D1 (de) 2007-04-26
US20040033677A1 (en) 2004-02-19
CN1547761A (zh) 2004-11-17
WO2004017393A3 (en) 2004-04-29
EP1433196A2 (de) 2004-06-30
EP1433196B1 (de) 2007-03-14
AU2003261334A1 (en) 2004-03-03

Similar Documents

Publication Publication Date Title
DE60312467T2 (de) Vorrichtung zum verhindern der seitlichen oxidation in einem transistor unter verwendung einer ultradünnen sauerstoffdiffusionsbarriere
DE10323013B4 (de) Verfahren zur Herstellung eines Halbleiterbauelementes mit PMOS- und NMOS-Transistor
DE112005000729B4 (de) Transistor und Verfahren zum Bilden desselben
DE10051600C2 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Grabenisolationsbereichen und Halbleitervorrichtung mit einer Elementisolationsstruktur
DE102009021486B4 (de) Verfahren zur Feldeffekttransistor-Herstellung
DE69133316T2 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE112004000146B4 (de) Verfahren zur Herstellung eines MOSFET-Bauelements mit zugspannungsverformtem Substrat
DE10255849B4 (de) Verbesserte Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit dotierten Seitenwandabstandselementen mit hoher Permittivität und Verfahren zu deren Herstellung
DE69736460T2 (de) Verfahren zur Herstellung von gerichtet abgeschiedenem Silizid über Transistorelektroden
DE102009015715B4 (de) Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
DE10222083A1 (de) Isolationsverfahren für eine Halbleitervorrichtung
DE3334333A1 (de) Verfahren zur herstellung eines mos-einrichtung mit selbstjustierten kontakten
DE102004013928A1 (de) Grabenisolation mit dotierter Oxid-Grabenfüllung
DE102009046250B4 (de) Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE10154835A1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102005030065A1 (de) Festphasenepitaxie verwendendes Halbleiterbauelement und Verfahren zur Herstellung desselben
DE102004048679B4 (de) Verfahren zum Herstellen eines Isolator-Dünnfilms sowie Verfahren zum Herstellen eines Halbleiterbauteils
DE102012215988A1 (de) CET und GATE-Leckstromverringerung in Metall-GATE-Elektrodenstrukturen mit grossem ε durch Wärmebehandlung und nach Entfernung der Diffusionsschicht
DE10219123A1 (de) Verfahren zur Strukturierung keramischer Schichten
DE10120053A1 (de) Stressreduziertes Schichtsystem
DE10158706B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102009035438B4 (de) Verwendung von Dielektrika mit großem ε als sehr selektive Ätzstoppmaterialien in Halbleiterbauelementen, sowie Halbleiterbauelemente
DE102011005718A1 (de) Verfahren zum Verringern der Äquivalenzdicke von Dielektriika mit großem ε in Feldeffekttranistoren durch Ausführen eines Ausheizprozesses bei geringer Temperatur
DE10361635B4 (de) Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
DE19824774C2 (de) Verfahren zum Herstellen eines Kondensators in einem Halbleiterbauteil

Legal Events

Date Code Title Description
8364 No opposition during term of opposition