CN1282993C - 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置 - Google Patents

利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置 Download PDF

Info

Publication number
CN1282993C
CN1282993C CNB038004569A CN03800456A CN1282993C CN 1282993 C CN1282993 C CN 1282993C CN B038004569 A CNB038004569 A CN B038004569A CN 03800456 A CN03800456 A CN 03800456A CN 1282993 C CN1282993 C CN 1282993C
Authority
CN
China
Prior art keywords
oxygen
gate dielectric
dielectric
layer
permeable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038004569A
Other languages
English (en)
Other versions
CN1547761A (zh
Inventor
礼萨·阿尔加瓦尼
帕特里夏·施特克利
罗伯特·周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1547761A publication Critical patent/CN1547761A/zh
Application granted granted Critical
Publication of CN1282993C publication Critical patent/CN1282993C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明公开了一种防止横向氧化穿过对氧扩散高度可渗的诸如高k栅电介质的栅电介质的方法和装置。根据本发明的一个实施例,在衬底上形成栅极结构,该栅极结构具有氧可渗栅电介质。然后在该栅极结构的侧壁上形成氧扩散阻挡层,以防止氧横向扩散到氧可渗栅电介质中,这样防止了对该栅电介质下面的衬底或者对该栅电介质上面的导电栅电极的氧化。

Description

利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置
技术领域
本发明一般地涉及半导体技术领域,更具体地说,本发明涉及利用超薄氧扩散阻挡层防止晶体管中的横向氧化。
背景技术
通常,在半导体工艺中,晶体管器件包括栅极(gate),其特征是一个栅电介质(gate dielectric)覆盖在衬底上,并且有一个栅电极(gateelectrode)覆盖在该栅电介质上。该栅电极是导电材料,如掺杂多晶硅或者金属。该栅电介质传统上是低k介电材料,如二氧化硅(SiO2)。
然而,由于对更小的晶体管器件的巨大需求,低k电介质不得不变得越来越薄。然而,到了某一厚度,低k栅电介质开始丧失它的绝缘性质。因此,一种更常规的做法是用高k电介质取代低k电介质。与低k电介质相比,高k电介质可以在更小的厚度提供较高的绝缘性。遗憾地是,高k电介质也不是没有它们的问题。例如,高k电介质对氧扩散高度可渗。图1图示了根据现有技术,在晶体管栅极结构100中穿过高k栅电介质102的氧扩散的潜在影响。参照图1,高k栅电介质102覆盖在硅衬底101上。在高k栅电介质102之上形成栅电极104,栅电极104也被认为是一个栅极终端,由导电材料制成,如掺杂多晶硅。随后,要么作为工艺的一部分要么作为环境氧无意地引入,氧106可以由诸如光刻,退火,和隔离物(spacer)沉积等工艺引入,氧横向(水平地)扩散到高k栅电介质102,并且氧化下面的硅衬底101和上面的多晶硅栅电极104的多个部分,形成不合需要的SiO2沉积物108。
这些SiO2沉积物108是非常不合需要的,因为它们显著地增加栅电介质102的厚度,而减少栅电极104的厚度。并且,SiO2沉积物108减少栅电介质102的净介电常数,提高电路的电容。结果,利用高k栅电介质的优点就丧失了。此外,SiO2沉积物108是不合需要的,因为它们侵占硅衬底101中沟道所要存在的区域,从而对将由该栅极结构100形成的晶体管的性能产生不利的影响。
发明内容
为了克服现有技术中的上述缺陷,本发明公开了一种装置,包括:
覆盖在衬底上的氧可渗栅电介质,其中所述氧可渗栅电介质是介电常数高于二氧化硅的高介电常数栅电介质;
在所述氧可渗栅电介质之上的导电栅电极,其中所述氧可渗栅电介质具有侧壁;
完全覆盖所述氧可渗栅电介质的侧壁的相对较薄的抗氧阻挡层,所述薄的抗氧阻挡层中没有可扩散环境氧;所述相对较薄的抗氧阻挡层用于防止氧横向扩散到所述氧可渗栅电介质中;以及
一对相对较厚的侧壁隔离物,这对相对较厚的侧壁隔离物中的每一个都由两层形成,其中所述两层中的至少一层由包含可扩散氧的材料组成,并且其中所述两层中的一层邻接并接触所述相对较薄的抗氧阻挡层的外边缘,而且邻接并接触所述衬底。
上述装置可以克服现有技术中的不足,改善晶体管的性能。
附图说明
本发明通过举例的方式被说明,并且不应该被附图中的图形所限制,附图中同样的标号指示类似的元件,其中:
图1图示了根据现有技术,在晶体管栅极结构中穿过高k栅电介质的氧扩散的潜在影响。
图2图示了根据本发明一个实施例的具有氧扩散薄阻挡层的晶体管。
图3A-3M图示了根据本发明一个实施例的形成晶体管的方法,该晶体管具有氧扩散薄阻挡层,用于防止横向氧扩散穿过氧可渗的栅电介质。
具体实施方式
这里描述了一种用于防止晶体管中的横向氧化的方法和装置。在下面的描述中,阐明了许多具体的细节。然而,本领域的普通技术人员可以理解,这些具体的细节对于实施本发明的实施例不是必需的。虽然某些示例性实施例已经在附图中描述和示出,但是可以理解的是,这些实施例对本发明只是说明性的而非限制性的,因为本领域的普通技术人员可以对所示出和描述的具体结构和装置进行修改,所以本发明并不被限制在这些具体结构和装置中。为了防止不必要地淡化本发明的主题,在其它的例子中,公知的半导体制造工艺,技术,材料,设备等并没有被阐明具体的细节。
根据这里描述的本发明的实施例,描述了一种在晶体管的侧面形成抗氧扩散阻挡层的方法和装置。抗氧扩散阻挡层防止氧横向扩散到对氧扩散具有很低抵抗力、或者换言之对氧扩散具有高渗透性的栅电介质的侧壁中。通过防止氧的横向扩散,保护下面的衬底或上面的栅电极以避免不合需要的氧化。这种应用对于高k栅电介质是特别有利的,因为大多数高k栅电介质对氧扩散是高渗透性的。从而,抗氧扩散阻挡层的优点就是晶体管可以具有很薄的栅电介质,而又不遭受高k栅电介质常常发生的横向氧化。结果,在没有性能或可靠性损失的条件下,可以形成更小的集成电路。
图2图示了根据本发明的一个实施例的具有氧扩散薄阻挡层206的晶体管200。参照图2,晶体管200在硅衬底201上形成。在衬底201的表面上形成诸如对氧扩散高可渗的高k电介质的氧可渗栅电介质202,并且依次在该氧可渗栅电介质202上形成栅电极204。在栅电极204的侧壁上以及在氧可渗栅电介质202的侧壁上形成氧扩散薄阻挡层206。晶体管200还包括邻近氧扩散阻挡层206的外缘而形成的一对厚侧壁隔离物208。晶体管200包括一对源/漏区210,每个源/漏区包括一对端区(tip)或者源/漏延伸区(source/drain extension)211以及一个深结源/漏接触区(deepjunction source/drain contact region)212。
仍参照图2,氧扩散薄阻挡层206由对氧扩散有高抵抗性的材料制成。通常,在晶体管的处理过程中,可能会执行某些附带地将氧可渗栅电介质202暴露给氧的工艺,这通常发生在氧化工艺过程或包含环境氧的其它工艺过程中。氧会很容易地穿过氧可渗栅电介质202,并且氧化下面的衬底201或者上面的栅电极204。这种氧化会形成不合需要的二氧化硅沉积物,这将对晶体管的性能产生不利的影响,甚至可以使该晶体管无法工作。然而,氧扩散薄阻挡层206覆盖并封闭氧可渗栅电介质206的侧壁,进而防止氧横向扩散进入氧可渗栅电介质206。
图3A-3M图示了根据本发明的一个实施例的形成晶体管的一种方法,该晶体管具有氧扩散薄阻挡层以防止穿过氧可渗栅电介质的氧的横向扩散。参照图3A,多个场隔离区(field isolation region)302在衬底300中被形成。术语“衬底”包括诸如单晶硅的半导体晶片以及具有一个或者多个绝缘的、半绝缘的、导电的或半导电的层和材料的结构。因此,例如该术语包括绝缘体上硅(silicon-on-insulator,SOI)、蓝宝石上硅(silicon-on-sapphire)和其它的高级结构。隔离区302可以是通过在衬底300中刻蚀出沟槽,然后用沉积的氧化物、氮化物或其它介电材料填充该沟槽而形成的浅沟槽隔离(shallow trench isolation,STI)区或者深沟槽隔离(deep trench isolation)区。场隔离区302也可以利用其它方法形成,如LOCOS,凹式LOCOS,或者绝缘体上硅(SOI)的方法。
多个隔离区302将一种导电类型的阱(well)303与其它导电类型的阱301隔离。例如,阱303可以是p型导电区域,而阱301可以是n型导电区域,或者二者相反。为了制造具有浓度为7.0×1017/cm3的p阱,可以通过对衬底300以3.0×1013/cm2的剂量和230keV的能量进行硼原子的第一次注入,随后以4.2×1013/cm2的剂量和50keV的能量进行硼离子的第二次注入,形成p型导电的阱。为了制造具有n型浓度约为7.0×1017/cm3的n阱,可以通过对具有浓度为1×1016/cm3的硅衬底以4×1013/cm2的剂量和475keV的能量进行磷原子的第一次注入,以2.5×1012/cm2的剂量和60keV的能量进行磷原子的第二次注入,以及以1.0×1013/cm2的剂量和180keV的能量进行砷原子的最后注入,形成n型导电的阱。可以理解的是,p型导电区和n型导电区可以由本领域普通技术人员公知的其它方法形成。
如图3B所示,该方法可以继续在衬底300上和隔离区302上覆层沉积(blanket deposit)电绝缘(“电介质”)层304,电介质层304对氧的扩散是高可渗透的,或者换言之,为氧可渗介电层304。在本发明的一个实施例中,氧可渗电介质层304是高k介电材料,并且可以被沉积到2到50的厚度。用于覆层沉积一层高k介电材料的常规技术包括溅射沉积或者蒸发技术。
术语“高k”是一个相对的术语,指一种具有介电常数(k)基本高于二氧化硅(SiO2),或者换言之,基本高于k=3.9的材料。在集成器件的形成过程中使用的示例性高k材料包括金属氧化物(Al2O3、ZrO2、HfO2、TiO2、Y2O3、La2O3等)、铁电体(PZT、BST等)、无定形金属硅酸盐(Hf、Zr)、无定形硅酸氧化物(HfO2、ZrO2)以及顺电体(paraelectrics)(BaxSr1-xTiO3、PbZrxTi1-xO3)。
由于相对于高k材料的物理厚度而言它的电绝缘性很有效,所以高k电介质在晶体管的形成中很有用。这种高绝缘性允许高k介电材料可以被沉积得很薄,而仍具有很好的有效电“厚度”——在很多情况下,远大于与它物理厚度相同的SiO2的有效电厚度。
尽管在晶体管的形成中利用高k电介质有很多优点,然而,许多种类的高k电介质都有令人遗憾的缺点,它们对氧扩散是高可渗的,或者换言之,氧分子(或者氧化合物分子)可以很容易地通过高k介电材料中的孔隙。因此,根据本发明的一个实施例,氧可渗电介质层304可以同义地称为高k电介质。然而,本发明的其它实施例可以利用其它的不一定是高k材料的介电材料,但是它们仍对氧扩散高度可渗。
如图3C所示,该方法可以继续在氧可渗电介质层304上覆层沉积导电材料306,达到技术要求的厚度。在本发明的一个实施例中,导电材料306是多晶体硅或多晶硅,并且被沉积到大约600到2000之间的厚度,优选为1600。传统的多晶硅覆层沉积工艺在本领域是公知的,包括化学气相沉积(CVD)和物理气相沉积(PVD)。这些沉积工艺通常在600到650℃的温度范围内发生,然而,这些沉积工艺也可以发生在更高的温度。硅烷或者含有100%的N2或H2的气体流可以被用在多晶硅沉积工艺中。在图案化之前或之后,可以对导电层306进行离子注入,以得到期望的导电类型和级别。在本发明其它的实施例中,其它的材料可以结合或者取代多晶硅而被利用,如金属,金属合金,和金属氧化物,单晶硅,非晶硅,硅化物,或在本领域中的其它公知材料,以用于形成栅电极。
如图3D-3E所示,该方法可以继续在导电层306上形成硬掩模312。可以使用几种公知的方法以在导电层306上形成硬掩模。在图3D示出的本发明的实施例中,可以通过化学气相沉积(CVD)的方法在导电层306上沉积诸如氮化物层的硬掩模层308而形成硬掩模312。然后可以进行通常的光刻工艺,包括公知的掩模、曝光以及光刻胶层显影的步骤,以形成光刻胶掩模310,如图3D中所示。然后,如图3E中所示,通过使用光刻胶掩模310来对准刻蚀,将掩模层308刻蚀到导电层306的顶部,从而光刻胶掩模310的图案被转移到掩模层308,这样在导电层306上产生硬掩模312。
如图3F所示,该方法继续去除光刻胶掩模310,并且通过使用硬掩模312来对准下面将要进行的刻蚀,进一步向下刻蚀导电层306直到氧可渗电介质层304的顶部,从而在硬掩模312的下方制备了导电结构318,它也被称为栅电极或者栅终端。刻蚀剂316应当刻蚀导电层306,而不刻蚀硬掩模312或者氧可渗电介质层304。为防止横向刻蚀栅电极318的侧壁,使用氯基化学试剂的干法刻蚀是优选的。然后可以应用热磷刻蚀来除去硬掩模312。
接着,如图3G中所示,该方法可以继续刻蚀氧可渗电介质层304直到衬底300的顶部和隔离区302的顶部。该刻蚀化学法应该是这样的化学法,它刻蚀掉了氧可渗电介质层304,但既不刻蚀衬底300和栅电极318,也不刻蚀隔离区302。刻蚀使得氧可渗电介质层304的一部分319正好留在栅电极318的下方。电介质层304的这个部分319也被称为栅电介质,并且与氧可渗电介质层304的材料相同。在栅电极318下方的氧可渗电介质层的部分319这里将被称为“栅电介质”或者“氧可渗栅电介质”。在本发明的一个实施例中,如上面的详细描述,氧可渗栅电介质319包括高k介电材料。栅电极318用来对准刻蚀,使得氧可渗栅电介质319的侧壁与栅电极318的侧壁垂直对齐。为使刻蚀衬底的风险最小化,与干法刻蚀相比,这里优选的是湿法刻蚀。在本发明的一个实施例中,一个示例性的刻蚀化学法可以包括50∶1的HF刻蚀,用其刻蚀40秒或者直到氧可渗电介质层304被完全从隔离区302的表面和衬底300的表面除去为止,只保留栅电极318正下方的部分。因为氧可渗栅电介质319的高可扩散性,所以对氧可渗电介质层304的刻蚀应该注意不要引入氧,而不管其是否直接作为该工艺的一部分,因为氧可渗栅电介质319将被暴露,并且氧将横向扩散到氧可渗栅电介质319。
栅电极318和氧可渗栅电介质319一起定义了复合结构324,复合结构324有时被称为诸如晶体管的集成器件的栅极结构或者栅极。如图3G所示,栅极结构324的侧壁开始于栅电极319的顶缘320,并且向下沿着栅电极318和氧可渗栅电介质319两者的侧壁的整个长度而延伸。
接着,如图3H所示,抗氧薄层326被覆层沉积在栅极结构324的顶部和沿栅极结构324的侧面的整个长度上,包括栅电极318侧壁和栅电介质319侧壁的整个长度上(相接触)。同时,抗氧薄层326被沉积在衬底300或隔离区302的任何暴露部分的顶部之上。抗氧层326是这样的材料,它应该能抵抗氧的扩散,并且还不含有可能界面扩散到与其相接触的氧可渗栅电介质319的氧分子。换言之,该抗氧层326是高度抗氧扩散的,并且其中没有可扩散氧。一种用于抗氧层326的示例性材料是氮化物,如氮化硅,氮化硼,氮化镁等,通过任何公知的沉积氮化物薄膜的工艺而形成。示例性覆层沉积工艺包括二叔丁基氨基硅烷(Bistertiarybutylaminosilane,BTBAS)工艺,原子层沉积(ALD)工艺,或者热壁(hotwall)工艺(氨+硅烷)。
正像在上述的氧可渗电介质层304的刻蚀期间那样,在抗氧薄层326的形成期间应该注意的是,当氧可渗栅电介质319可能被暴露时,包括在氧可渗电介质层304的图案化期间以及在抗氧薄层326的形成过程中,应当防止氧被引入到工艺中。通过在基本无氧的环境中,例如真空中,执行本方法,可以基本上限制氧暴露。然而,即使尽最大的努力,仍然可能在工艺中引入极微量的环境氧,并且可能横向扩散到氧可渗电介质层304。因此,沉积抗氧薄层326的方法需要进一步被优化以避免横向氧化,或者换言之,以避免由氧的横向扩散而氧化氧可渗栅电介质319下面的衬底300的区域327。例如,用于沉积抗氧层326的低温方法可以是有益的,因为衬底300的氧化不易在低温(例如,低于约650℃)下发生。如果偶尔有小部分氧不知何故而被引入,低温甚至也能减少衬底300的部分327微量氧化的机会。与诸如热壁工艺的其它一些方法相比,BTBAS工艺是有益的,因为它可以在约550℃-650℃之间的低温下进行,而热壁工艺通常在大约800℃的温度下进行。因此,在本发明的一个实施例中,BTBAS工艺可以被用来沉积抗氧层326。
一个示例性的BTBAS工艺是一种CVD工艺,该工艺可以包括至少加热衬底300的表面、氧可渗栅电介质319的侧面、以及栅电极318的侧面和顶部到大约500℃到650℃之间的温度,优选为600℃。然后,在大约600托的气压下,同时流动大约每秒2,000标准立方厘米(sccm)的分子氮(N2)、大约200sccm的氨(NH3)以及大约每分钟800毫克(mgm)的BTBAS。N2、NH3和BTBAS相化合以大约每秒1的速率形成氮化硅。BTBAS工艺用抗氧层326密封栅电极318和栅电介质319的侧面。
本领域的普通技术人员会认识到在本发明的一些实施例中,也可以方便地采用其它的沉积抗氧薄层326的低温工艺。同时,应当认识到如果一些氧不知何故横向扩散到氧可渗栅电介质319中,低温工艺对限制区域327的氧化是有利的,但是如果没有氧横向扩散到氧可渗栅电介质319中,高温方法也可以被用于沉积抗氧层326。此外,如果只有微量的氧横向扩散到氧可渗栅电介质310中,高温方法也可以被采用,因为微量的氧化在一定程度是可以接受的。
在本发明的一个实施例中,抗氧薄层326应该沉积得足够厚以作为氧的扩散阻挡层,用于防止在后续的工艺中氧横向扩散到氧可渗栅电介质319的侧壁中。然而,抗氧薄层326又不应该被沉积得很厚,以致于它将干扰随后的端区注入(tip-implant)的形成,这在下面将详细描述。因此,在本发明的一个实施例中,抗氧薄层326被沉积到大约2到300之间的厚度。
接着,如图3I所示,抗氧薄层326使用基本垂直或各向异性刻蚀技术来进行刻蚀。各向异性刻蚀技术使用一种化学刻蚀方法,使得虽然可能发生少量的水平(各向同性)刻蚀,但它主要在垂直(各向异性)方向刻蚀。一种用于刻蚀的示例性的化学法可以是利用四氟化碳(CF4)化学试剂的标准氮化物刻蚀(例如,等离子体发生器中的CF4H2或者CF4+O2)。各向异性刻蚀从栅电极318的顶部、隔离区302的顶部表面和衬底300的大部分顶部表面处去除抗氧薄层326,而不立即去除栅极结构324的两个侧面的抗氧薄层326。从而,各向异性刻蚀留下沿栅电极318和氧可渗栅电介质319两者侧壁的整个长度的抗氧层326的一部分330。
部分330可以被称为“抗氧薄隔离物”,因为它在外观上类似于通常在晶体管的制造期间形成的传统的厚隔离物。然而,厚隔离物通常的功能是防止杂质垂直掺入到衬底的某些区域,而留在侧壁上的部分330的功能是防止氧横向扩散到氧可渗栅电介质319中。此外,厚隔离物通常不必是抗氧的,可以含有界面扩散氧,并且形成得更厚。从而,这里留在侧壁上的部分330将被称为“氧扩散薄阻挡层”(thin oxygen-diffusionbarrier),因为它的一个功能是作为氧扩散的阻挡层。
氧扩散薄阻挡层330覆盖并且密封氧可渗栅电介质319的侧壁。如果不存在氧扩散薄阻挡层330,在随后的工艺中直接应用的氧(O2、O3等)或存在于空气中的环境氧会横向穿过氧可渗栅电介质310中的细密孔隙,而进入氧可渗栅电介质310下面的硅衬底300中,氧化沟道区327中的硅衬底,形成二氧化硅沉积物。该二氧化硅沉积物会通过干扰流经沟道327的电流进而影响集成器件的最终性能。同时,如果不是因为氧扩散薄阻挡层330,氧会横向扩散到氧可渗栅电介质319中,而进入氧可渗栅电介质319上面的接触栅电极318中。如果栅电极318由可以被氧化的材料制成,如多晶硅,则二氧化硅沉积物也可以在栅电极318和栅电介质319之间的界面处形成。因为二氧化硅是电介质,所以在衬底300中或者在栅电极318中的二氧化硅沉积物的形成,会增加栅电介质319的物理厚度。此外,因为二氧化硅具有与氧可渗栅电介质319相比相对较低k的介电值,所以栅电介质319的有效k值会显著下降,从本质上否定了使用高k介电材料的有益目的。
氧扩散薄阻挡层330的另一个优点是接触抗氧隔离物330的栅电极318的边缘也被密封。氧扩散薄阻挡层330对栅电极318的边缘和氧可渗栅电介质319的边缘的密封有助于提高晶体管的热电子寿命。
如图3J所示,该方法继续,在形成氧扩散阻挡层之后,立即通过利用端区注入工艺形成端区340或浅源/漏延伸区。许多公知的技术可以被利用来在衬底300中形成端区340。在本发明的一个实施例中,衬底300用具有与阱303的导电性相反的导电性的离子进行掺杂。例如,如果阱303具有p型导电性,则端区注入会包括注入诸如砷离子的n型导电离子到衬底300的顶部以形成传统的N端区。可是如果阱303具有n型导电性,则端区注入会包括注入诸如硼离子的p型导电离子到衬底300的顶部以形成传统的P端区。栅电极318保护栅电介质319下面的衬底区域,避免注入离子。
在以下进一步描述中,上述注入的剂量低于用来形成深源/漏结的剂量。例如,在本发明的一个实施例中,n型导电离子可以以约1×1015离子每平方厘米的剂量进行沉积。此外,为确保端区340形成浅的深度,该注入能量应该较低,例如10keV左右。
在本发明的一个实施例中,离子正好以直角(90°)被注入而形成端区340,其中端区与氧扩散阻挡层330的外缘对齐。然后可以进行快速热处理(rapid thermal process,RTP)退火,以将端区340驱进到氧扩散阻挡层340下方并将端区340部分地驱进到氧可渗栅电介质319下方。
然而,本领域的普通技术人员会认识到,可以使用其它的技术以一个不是90°的角度注入浅端区340。有角度的离子注入可能需要稍高的注入能量,因为离子注入的角度可能需要所注入的离子穿过氧扩散阻挡层340或者氧可渗栅电介质319的较低部分,而到达氧扩散阻挡层340或氧可渗栅电介质319下面的衬底。
接着,如图3K-3L所示,该方法可以继续形成邻近并接触氧扩散薄阻挡层330的外侧壁的厚隔离物344。厚隔离物344可以由氮化硅组成,或者可以是氮化硅和二氧化硅的组合。在本发明的一个实施例中,厚隔离物344是通过快速热氧化工艺形成的氧化物/氮化物/氧化物(ONO)复合物。在本发明的另一个实施例中,厚隔离物344是氮化物/氧化物复合物。氮化物/氧化物隔离物可以通过在隔离区302、端区注入340、氧扩散薄阻挡层330以及栅电极318之上覆层沉积约50-100的氧化物薄层341而形成,如图3k所示。氧化物层341的覆层沉积可以包括任何公知的的CVD工艺,包括BTBAS工艺。这里保持在低温下沉积是有益的,优选的是在650℃,这样热能不会干扰注入端区340。接着,500-1800厚的氮化硅层342被沉积在氧化物层341上。氮化硅层可以通过标准的CVD沉积方法形成,包括BTBAS沉积技术。这里保持在低温下沉积也是有益的。然后,对氮化硅层342和氧化物层341进行各向异性刻蚀以形成厚隔离物344,如图3L所示。厚隔离物344确保了随后的深注入不干扰厚隔物344下面的端区340。从而,厚隔离物344应该被形成为足够厚且足够宽,以防止随后深的、高剂量的离子注入(如以下在图3M中描述的)淹没厚隔离物344下方的浅端区340部分。
接着,如图3M所示,衬底300经受深的、高剂量的注入工艺以在阱区303中形成深结源/漏区348。该深注入包括与用于形成端区340的杂质的导电类型相同的注入离子。例如,如果阱303属于p型导电性,则注入n型离子,反之,对于n型导电性阱,则注入p型离子。示例性的n型离子包括砷和磷,而示例性的p型离子包括硼。同时,如果导电栅电极318包括多晶硅,且如果该多晶硅之前没有进行掺杂,则深注入工艺可以被用于对在栅电极中的多晶硅进行掺杂。在本发明的一个实施例中,深注入348被形成为在1×1019/cm3-5×1020/cm3之间的浓度和大约0.15-0.25微米的深度。可以执行激活(activation)退火以激活端区340和深注入348。退火可以利用RTP在氮气氛中进行,退火温度在900℃-1200℃之间,优选为1050℃,退火大约持续10-300秒,优选为20秒。
这里已经描述了本发明的几个实施例。然而,本领域的技术人员会认识到本发明并不限制在所描述的实施例中,而可以在所附的权利要求的精神和范围内实现对本发明的各种修改和变化。

Claims (5)

1.一种装置,包括:
覆盖在衬底上的氧可渗栅电介质,其中所述氧可渗栅电介质是介电常数高于二氧化硅的高介电常数栅电介质;
在所述氧可渗栅电介质之上的导电栅电极,其中所述氧可渗栅电介质具有侧壁;
完全覆盖所述氧可渗栅电介质的侧壁的相对较薄的抗氧阻挡层,所述薄的抗氧阻挡层中没有可扩散环境氧;所述相对较薄的抗氧阻挡层用于防止氧横向扩散到所述氧可渗栅电介质中;以及
一对相对较厚的侧壁隔离物,这对相对较厚的侧壁隔离物中的每一个都由两层形成,其中所述两层中的至少一层由包含可扩散氧的材料组成,并且其中所述两层中的一层邻接并接触所述相对较薄的抗氧阻挡层的外边缘,而且邻接并接触所述衬底。
2.如权利要求1所述的装置,其中所述导电栅电极是多晶硅。
3.如权利要求1所述的装置,其中所述相对较薄的抗氧阻挡层具有2到300之间的厚度。
4.如权利要求1所述的装置,其中所述相对较薄的抗氧阻挡层包括氮化物材料。
5.如权利要求1所述的装置,其中所述高介电常数栅电介质包括来自由金属氧化物、铁电体、无定形金属硅酸盐和硅酸盐氧化物,以及顺电体组成的组中的材料。
CNB038004569A 2002-08-14 2003-07-31 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置 Expired - Fee Related CN1282993C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/219,726 US20040033677A1 (en) 2002-08-14 2002-08-14 Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US10/219,726 2002-08-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101541594A Division CN1917150A (zh) 2002-08-14 2003-07-31 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置

Publications (2)

Publication Number Publication Date
CN1547761A CN1547761A (zh) 2004-11-17
CN1282993C true CN1282993C (zh) 2006-11-01

Family

ID=31714786

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB038004569A Expired - Fee Related CN1282993C (zh) 2002-08-14 2003-07-31 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置
CNA2006101541594A Pending CN1917150A (zh) 2002-08-14 2003-07-31 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2006101541594A Pending CN1917150A (zh) 2002-08-14 2003-07-31 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置

Country Status (8)

Country Link
US (3) US20040033677A1 (zh)
EP (1) EP1433196B1 (zh)
CN (2) CN1282993C (zh)
AT (1) ATE357056T1 (zh)
AU (1) AU2003261334A1 (zh)
DE (1) DE60312467T2 (zh)
TW (1) TW200415732A (zh)
WO (1) WO2004017393A2 (zh)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7157341B2 (en) * 2004-10-01 2007-01-02 International Business Machines Corporation Gate stacks
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7226831B1 (en) * 2005-12-27 2007-06-05 Intel Corporation Device with scavenging spacer layer
US7407851B2 (en) * 2006-03-22 2008-08-05 Miller Gayle W DMOS device with sealed channel processing
US20070262399A1 (en) * 2006-05-10 2007-11-15 Gilbert Dewey Sealing spacer to reduce or eliminate lateral oxidation of a high-k gate dielectric
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7834382B2 (en) * 2007-01-05 2010-11-16 Macronix International Co., Ltd. Nitride read-only memory cell and method of manufacturing the same
US7955926B2 (en) * 2008-03-26 2011-06-07 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US8193586B2 (en) * 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US9263276B2 (en) * 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
US8450834B2 (en) 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120080749A1 (en) * 2010-09-30 2012-04-05 Purtell Robert J Umos semiconductor devices formed by low temperature processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8642371B2 (en) * 2011-04-06 2014-02-04 Shamsoddin Mohajerzadeh Method and system for fabricating ion-selective field-effect transistor (ISFET)
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
CN103050540B (zh) * 2012-12-20 2016-03-30 电子科技大学 使用高介电常数槽结构的低比导通电阻的横向功率器件
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
FR3013895B1 (fr) * 2013-11-25 2017-04-14 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9466492B2 (en) * 2014-05-02 2016-10-11 International Business Machines Corporation Method of lateral oxidation of NFET and PFET high-K gate stacks
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN109727864A (zh) * 2017-10-30 2019-05-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109994429B (zh) * 2017-12-29 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
CN110364476B (zh) * 2018-04-09 2022-03-22 无锡华润上华科技有限公司 一种半导体器件的制造方法
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5573964A (en) * 1995-11-17 1996-11-12 International Business Machines Corporation Method of making thin film transistor with a self-aligned bottom gate using diffusion from a dopant source layer
JP3684849B2 (ja) * 1997-06-17 2005-08-17 セイコーエプソン株式会社 Mis型電界効果トランジスタを含む半導体装置及びその製造方法
TW472398B (en) * 1997-06-27 2002-01-11 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US6172407B1 (en) * 1998-04-16 2001-01-09 Advanced Micro Devices, Inc. Source/drain and lightly doped drain formation at post interlevel dielectric isolation with high-K gate electrode design
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6110784A (en) * 1998-07-28 2000-08-29 Advanced Micro Devices, Inc. Method of integration of nitrogen bearing high K film
US6281075B1 (en) * 1999-01-27 2001-08-28 Sandisk Corporation Method of controlling of floating gate oxide growth by use of an oxygen barrier
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP2001210726A (ja) * 2000-01-24 2001-08-03 Hitachi Ltd 半導体装置及びその製造方法
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6383873B1 (en) * 2000-05-18 2002-05-07 Motorola, Inc. Process for forming a structure
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
US20030020111A1 (en) * 2001-07-16 2003-01-30 Bevan Malcolm J. Economic and low thermal budget spacer nitride process

Also Published As

Publication number Publication date
DE60312467D1 (de) 2007-04-26
US20040033678A1 (en) 2004-02-19
ATE357056T1 (de) 2007-04-15
CN1917150A (zh) 2007-02-21
TW200415732A (en) 2004-08-16
EP1433196B1 (en) 2007-03-14
EP1433196A2 (en) 2004-06-30
US20080014730A1 (en) 2008-01-17
CN1547761A (zh) 2004-11-17
AU2003261334A1 (en) 2004-03-03
DE60312467T2 (de) 2007-12-13
US20040033677A1 (en) 2004-02-19
AU2003261334A8 (en) 2004-03-03
WO2004017393A3 (en) 2004-04-29
WO2004017393A2 (en) 2004-02-26

Similar Documents

Publication Publication Date Title
CN1282993C (zh) 利用超薄氧扩散阻挡层防止晶体管中的横向氧化的联合方法和装置
US6303481B2 (en) Method for forming a gate insulating film for semiconductor devices
US6503826B1 (en) Semiconductor device and method for manufacturing the same
US7535067B2 (en) Transistor in semiconductor devices and method of fabricating the same
KR101274960B1 (ko) 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
CN1302537C (zh) 半导体结构及其制造方法
JP2007053392A (ja) Mis型電界効果トランジスタの製造方法及び半導体記憶装置の製造方法
CN1192585A (zh) 半导体器件及其制造方法
JP2002299614A (ja) Mis型電界効果トランジスタ及びその製造方法及び半導体記憶装置及びその製造方法
US20050287740A1 (en) System and method of forming a split-gate flash memory cell
JP2006114747A (ja) 半導体装置の製造方法
KR20020009418A (ko) 패턴된 구조상으로 수소가 풍부한 질화 실리콘층을 부합증착하는 개선된 방법
KR100668954B1 (ko) 박막트랜지스터 제조 방법
CN1679151A (zh) 半导体装置和半导体装置的制造方法
CN1467813A (zh) 半导体器件及其制造方法
CN1118101C (zh) 具有绝缘栅极的半导体器件及其制造方法
US7037858B2 (en) Method for manufacturing semiconductor device including an ozone process
JP2005079390A (ja) 半導体装置
CN1188982A (zh) 半导体器件及其制造方法
JPH11176959A (ja) 半導体装置の製造方法
KR100462368B1 (ko) 반도체소자의제조방법
KR19990040759A (ko) 반도체 소자의 콘택 홀 형성 방법
KR20060007676A (ko) 반도체 소자의 게이트 형성방법
KR20010066111A (ko) 반도체 소자의 접촉 구조 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061101

Termination date: 20130731