TW200415732A - Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier - Google Patents

Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier Download PDF

Info

Publication number
TW200415732A
TW200415732A TW092122267A TW92122267A TW200415732A TW 200415732 A TW200415732 A TW 200415732A TW 092122267 A TW092122267 A TW 092122267A TW 92122267 A TW92122267 A TW 92122267A TW 200415732 A TW200415732 A TW 200415732A
Authority
TW
Taiwan
Prior art keywords
oxygen
dielectric
layer
thin
gate
Prior art date
Application number
TW092122267A
Other languages
English (en)
Inventor
Reza Arghavani
Patricia Stokley
Robert Chau
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW200415732A publication Critical patent/TW200415732A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Formation Of Insulating Films (AREA)

Description

200415732 玖、發明說明: 【發明所屬之技術領域】 本發明一般係關於半導體技術領域,更明確言之,係關 於使用一超薄氧擴散障壁層來防止電晶體中侧氧化。 介電常數及電容的減少。 【先前技術】 通常,在半導體程序中,一電晶體元件包括 閘極之特徵在於一閘極介電質覆蓋在一基板上,以及一閘 極私極覆蓋在該問極介電質上。該間極電極係一導電材料 接雜的夕日曰石夕或金屬。該閘極介電質傳統上是一低k 介電材料,例如二氧化矽(Sl〇2)。 ;:由於對更小的電晶體元件的大量需要,該低k介 電質已開始變得越來越薄。但是,在—定的厚度下,該低k 、”兒冑開始失去其介電品質。因此,一種更傳統的實 产’、知用wk介電質來代替該低k介電質。一高k介電質 更:、的:度下能提供比低乂介電質更高的介電品質。遺 :的疋’ Μ介電質也並非沒有問題。例如,高k介電質對 有高參透性。圖1對藉由在-依據先前技術之 兒日曰月豆閘極結構1 00中的一离 % 閘極介電質102之氧擴散的 ^夕絲⑺了說明。參考圖1 一高輪介電質102覆蓋在 4;:上。在該高電請上方形成一閑極 二’:::為;閘極端子,係由-導電材料,如摻雜 沈積:二’諸如微影㈣、退火以及間隔 積㉙的私序可將氧106作為該程序之部分或無意中作
87235.DOC 200415732 為周圍氧而引入,其側(水平)擴散進入該高k閘極介電質 1 02,並使在下面的石夕基板1 〇丨以及覆蓋在上面的多晶石夕閘 極電極104邵分氧化,以形成並不需要的Si〇2沈積1〇8。 孩等Si〇2沈積1〇8是很不需要的,因為他們有效地增加 了該閘極介電質102的厚度,而減少了該閘極電極1〇4的厚 度。另外,該Si〇2沈積1〇8減少了該閘極介電質1〇2的淨介 電常數,增加了該電路的電容。結果,使用一高k閘極介 電質的好處喪失。此外,該等Si〇2沈積1〇8是不需要的,係 因為它們侵襲了該通道將位於的該矽基板1〇1區域,因此 對將由孩閘極結構1〇〇形成的該電晶體之性能產生不利影 響。 #、 【發明内容】 在此所述的係一種用於防止電晶體中側氧化的方法及裝 置。在下面的說明中作了大量具體細節之闡述。但是,一 熟習此項技術者將明白,該等具體細節並非實施本發明之 具體實施例所必需。儘管一定的範例具體實施例已經說明 並在附圖中顯示,但應瞭解的是,該等具體實施例僅為說 明性質’而對本發明並不具有限制性,而且本發明並不限 ㈣顯示及說明之具體架構及配置,因為該等熟習此项技 :者可此作出^改。在其他情形了,未對熟知的半導體製 k私序、技術、材料、設備等作特別詳細的闊述,以免造 成本發明具體貫施例不必要的混淆。 依據在此所述本發明之具體實施例,說明了 _種在— 日曰aa侧面形成一抗衰捧斗卩咅辟溫AA、 仉虱擴政障壁層的万法及裝置。該
87235.DOC 200415732 電質對氧擴散都具有高滲透性。結果, 散障壁層防止氧側擴散進入一閘極介電質的側壁,該閘極 介電質對氧擴散具有很低的阻抗性,或換言之,其對氧擴 散具有-高滲透性。藉由防止氧倒擴散,保護下面的基板 ,或者覆蓋在上面的閘極電極免受不需要的氧化。對於高^^ 的閘極介電質,其應用尤為有利’因為大多數的融閉極介 該抗氧擴散障壁層 的一項好處就在^ ’電晶體得以具有很薄的閘極介電質而 卻不遭受高k閘極介電質通常會發生的側氧化。因此,積體 電路能形成為更小,而不必經受性能或可靠性的損失。 【實施方式】 圖2依據本發明之一項具體實施例,說明了具有 擴散障壁層2 0 6的一電晶體2 0 0。会水γ-t 1 、、 兒日日參考圖2,一電晶體200形 成於一碎基板201上。一诱曼料μ 還乳性(〇xygen-permeable)的閘極 介電質202,例如對氧擴散具有高滲透性的—高k介電質, 形成於該基板2〇1的表面,-閘極電極綱繼而形成於該透 氧性閘極介電質202上面。左今„ 4τ +』。 在居閘極電極204的側壁上及該 透氧性閘極介電質202的側壁上,p a 〇 、 、、 土上 形成一薄氧擴散障壁層 206。該電晶體200也台;一 m ,., 括對居側壁間隔物208,形成於鄰 近該氧擴散障壁層206的外例邊给旁、、+ a a /丨例遭緣處。琢電晶體2〇〇包括一 對源極/汲極區域2 1 〇,農夂4化 、 /、各匕括一對尖端或源極/汲極延伸 邵分2 11以及一深接面源極/ 你往及極接點區域2 12。 仍參考圖2,該薄氧撼為嚅臨的 一 虱擴欢潯障壁層206係由對該氧擴散具 有高阻抗性的材料製成。诵赍如· ^ 通吊,在一電晶體的處理期間, 可能實行一定的方法,嗜筌女、上人 以寺万法會附帶性地使該透氧性的
87235.DOC 200415732 閘極電極202曝露於氧,通常在一氧化程序中或藉由包含 周圍氧的其他程序中。該氧容易藉由該透氧性閘極介電質 202,並氧化在下面的基板201或覆蓋在上面的閘極電極 204。該氧化會形成並不需要的二氧化矽沈積,該沈積會 對該電晶體的性能產生不利影響,甚至可能使該電晶體無 法運作。但是,該薄氧擴散障壁層206覆蓋並密封該透氧 性閘極介電質206的側壁,並防止氧側擴散進入該透氧性 閘極介電質206。 圖3 A至3M依據本發明之一具體實施例,說明了 一形成 電晶體的方法,該電晶體具有一薄氧擴散障壁層以防止藉 由一透氧性閘極電極的氧側擴散。參考圖3 A,複數個場隔 離區域302形成於一基板300上。術語「基板」包括一半導 體晶圓,如單晶矽,以及具有一或更多的絕緣、半絕緣、 導電或半導電層及材料的結構。因此,舉例而言,該術語 包括絕緣體上矽、藍寶石上矽以及其他先進的結構。隔離 區域 302可能是淺溝渠隔離(shallow trench isolation ; STI) 或深溝渠隔離區域,該等區域係由將一溝渠蝕刻入該基板 300内,然後用一沈積氧化物、氮化物或其他介電材料填 充該溝渠而形成。場隔離區域302也可採用其他方法形成, 例如LOCOS,凹陷的LOCOS,或者絕緣體上矽(SOI)等方 法。 該等複數個隔離區域302將具有一導電類型的一井303與 具有其他導電類型的井30 1隔離開來。例如,井303可能係 一 p型導電區域,而井301可能係一 η型導電區域,或相反。
87235.DOC 200415732 一 p型導電井之形成可以藉由:在基板3〇〇中植入劑量為 S.OxlOH/cV而能量*23〇keV的一第一植入物硼原子,接 下來植入劑量為4.2 X 1 〇13/cm2而能量為5〇 keV的一第二植 入物硼離子,以產生具有一濃度為7〇xl〇17/cm3的一 p井。 一 η型導電井之形成可藉由··在一濃度為卜丨^6/^“的矽基 板植入劑量為4xl〇13/cm2而能量為475 keV的一第一植入物 磷原子,植入劑量為2.5xl〇12/cm2而能量為6〇]^¥的一第二 植入物磷原子,以及植入劑量為11〇13/cm2而能量為18〇 keV的一最後植入物砷原子,以產生一具有大約為 7·0χ10 /cm3的一 n型濃度的一 11井。需瞭解的是,可以藉由 熟悉此項技術者所熟知的其他方法形成該p型導電區域以 及η型導電區域。 如圖3Β所示,該方法繼續將一電絕緣層(「介電質」)3料 覆毯式沈積在該基板3〇〇及隔離區域3〇2上,該介電層 對孩氧擴散具有高滲透性,或換言之,係一透氧性的介電 層304。在本發明之一具體實施例中,該透氧性的介電層 3〇4係一高k介電材料,並可以沈積於2人至5〇人厚度範圍内 。覆毯式沈積-層高k介電材料的傳統技#包括喷賤沈積 或蒸發技術。 4術浯「咼k」係一相對術語,其指具有實質上高於二氧 化石夕(Si02),或換言之,實質上高於k=39的—介電常數⑻ 的-材料。用於積體元件形成的範例高k材料包括,金屬氧 化物(Al2〇3、Zr〇2、Hf〇r T1〇2、Y2〇3、La2〇3等)、鐵電 材料(PZT、BST等)、非晶金屬㈣鹽(Hf、Ζί)、非晶石夕酸
87235.D〇C -10- 200415732 鹽氧化物(Η%、Zr〇2)以及順電材料(Ba々i卩〇 PbZrxTVxOy。 X 3 通常,高k介電質料該電晶體的形成很有㈤,因為該高 k材料與其實體厚度相比所具有的有效電絕緣特性。續: 介:品質允許高k介電材料沈積得非常薄,但卻仍然;二 非常好的有效電「厚度」,在很多情ί兄下,比同等實體厚度 下的Si〇2的有效電厚度要厚得多。 儘官在一電晶體的形成中採用一高k的介電質有諸多好 處’但是’很多類高k介電質都有令人遺憾的缺點,它們對 =擴散具有高渗透性,或換言之,氧分子(或氧化合物分子) 能很容易地穿過該高k介電材料中的孔隙。結果,依據本發 明《:項具體實施例,該透氧性的介電層3G4同樣可以稱 為一问k介電質。但是,本發明之其他具體實施例可以使 用其他不一足是高乂材料但仍然對氧擴散具有高滲透性的 介電材料。 如圖3C所示,該方法可繼續在該透氧性介電層304上方 覆毯式沈積一導電材料306到該技術所限定的厚度。在本 發明〈一具體實施例中,該導電材料306係多晶矽,並沈 積到介於大約600A與2〇〇〇A之間的厚度,較佳的係160〇a 。本技術中熟知的傳統多晶矽覆毯式沈積方法包括化學汽 相沈積(C VD)、物理汽相沈積(p VD)。通常,該等沈積方法 發生於600至650 C溫度範圍内,但是,該等沈積方法可以 在更n的溫度下發生。含有1〇〇% 或^的矽烷或氣體流 ’可用於多晶石夕沈積方法。在81案化之前或之後,對該導
87235.DOC -11- 200415732 電層306進行離子植入 發明的其他具體眚…而要的導電類型及位準。在本 或代扶,其他材料可肖多晶珍結合使用 4代臀夕日日矽,如金屬、 、站曰於 至屬5至、金屬氧化物,單晶矽 成=電:化物或其他在本技術中所— 一"至扣所不,孩万法可繼續在該導電層306上形成 來罩Μ2。可以採用數種熟知的方法在—導電層306上 八更遮罩。在圖3D所示的本發明之該具體實施例中, 可藉,一化學汽相沈積(CVD)方法沈積一硬遮罩層3〇8,例 如、物層’而在該導電層3G6上方而形成該硬遮罩川 接下來’貫行-通常的微影蝕刻方法,其步驟包括熟知 的遮罩、曝光、顯影-総層,以形成—光阻遮罩31〇,如 圖3D所示。接下來,如圖3E所示,藉由將該遮罩層3〇8蚀 刻到孩導電層3G6頂部並使用該綠遮罩3丨Q來對齊該触刻 ,將該光阻遮罩310的圖案轉移到該遮罩層3〇8,因而在該 導電層3 06上方產生一硬遮罩312。 如圖3 F所示,違方法繼績將該光阻遮罩3 1 〇移除並將該 導電層306往下蝕刻至該透氧性介電層3〇4頂部,並使用該 硬遮罩3 12來對齊該姓刻,因而產生位於該硬遮罩3丨2下的 一導電結構3 1 8 ’亦稱為一閘極電極或閘極端子。該蝕刻 劑3 16將姓刻該導電層3 0 6,但不是該硬遮罩3 1 2或該透氧 性介電層304。為了防止側蝕刻進該閘極電極3丨8的側壁, 取好進行使用一氯基化學的一乾式姓刻。接下來可以使用 一熱磷蝕刻以移除該硬遮罩3 12。 -12 -
87235.DOC 200415732 接下來,如圖3G所示,該方法可繼續將該透氧性介電層 304姑刻至该基板300頂邵及該隔離區域3〇2頂部。該姓刻 化學應使该透氧性介電層3 0 4受到姓刻,而該基板3 〇 〇、閘 極電極318、該隔離區域域302都沒有受到蝕刻。該蝕刻使 藏透氧性介電層304的一部分3 1 9直接位於該閘極電極3 1 8 下方。該介電層304的此部分319也稱為一閘極介電質,而 且具有與該透氧性介電層3〇4相同的材料。位於該閘極電 極318下方的該透氧性介電層的此部分319,在此將稱為 「閘極介電質」,或「透氧性閘極介電質」。在本發明之一 具體實施例中,如以上更為詳細之說明,該透氧性閘極介 電質319包括一高k介電材料。該閘極電極318對齊該蝕刻, 以使該透氧性閘極介電質319的側壁與該閘極電極318的側 壁垂直對齊。為使蝕刻該基板的風險減至最小,濕式蝕刻 比乾式蝕刻更佳。在本發明之一具體實施例中,除直接在 該閘極電極318下方以外,一範例蝕刻化學可以包括一⑽ • 1HF的蝕刻達4〇秒鐘,或直到該透氧性介電層3〇4從該隔 離區域3G2及該基板3⑽的表面上完全移除為止。由於該透 氧丨生閘極;1包貝3 1 9的高擴散性,對於|虫刻透氧性介電層 304 ’應小心不要引進氧,無論其是否因該透氧性閑極介電 質319將曝露,及該氧會側擴散進入該透氧性閘極介電質 319從而作為該程序的一部分而直接引入。 S閘極包極318與該透氧性閘極介電質319共同定義了一 複一構324 ’有時稱為一積體元件如一電晶體的一閘極 結構,或閘極。如圖3G所示,該閘極結構Μ的側壁,開
87235.DOC -13- 200415732 始於該閘極電極3 1 9的頂部邊緣320,沿著該閘極電極3 } 8 與该透氧性閘極介電質3 1 9的側壁之整個長度向下延伸。 接下來,如圖3H所示,一薄抗氧層326覆毯式沈積於該 閘極結構324的頂部並且延著該閘極結構324的整個侧面長 度,包括在(接觸)該閘極電極318及該閘極介電質319側壁 之整個長度上。同時,該薄抗氧層326沈積於該基板3〇〇或 隔離區域域302的任一曝露部分之頂部。該抗氧層326係一 對氧擴政具有阻抗性的材料,也不含有可能從介面擴散進 入該接觸透氧性閘極介電質3丨9的氧分子。換言之,該抗 氧層326對於氧擴教具有高阻抗性,並不含可擴散的氧。 用於該抗氧層326的範例材料係一氮化物,例如氮化矽、 氮化硼、氮化鎂等等,由任何熟知的沈積一薄氮化物薄膜 方法形成。範例的覆毯式沈積方法包括一 btbas (Bistertiarybutylaminosilane)方法,一原子層沈積(at〇mic layerdeP〇sltlon; ALD)方法,或一熱壁(h〇twall)(氨 + 石夕燒) 方法。 在該薄抗氧層326形成期間,正如在上述透氧性介電層 304蝕刻期間,須注意防止將氧引入該程序中,此時該透 氧性閘極介電質319可能會曝露,包括該透氧性介電層3〇4 圖案化期間以及在該薄抗氧層326形成期間。在一實質上 操氧%境下實行該方法的全部或部分如一真空,可以實質 上地限制氧曝露。但是,即使盡最大努力,在該程序中可 能不〉王意而引入微量的周圍氧,而且可能側擴散進入該透 氧性介電層304。因而,可以進一步將沈積該薄抗氧層326
87235.DOC -14- 200415732 的方法取佳化,以防止側氧化,或換言之,防止藉由氧的 側擴散而對該透氧性閘極介電質319下方的該基板3〇〇的區 域327造成氧化。例如,一種沈積該抗氧層326的低溫方法 可能是有好處的,因為該基板300的氧化不太可能在低溫 (如,低於大約650°C )下發生。如果,不知什麼原因偶然引 入一小部分氧,則該低溫減少了對該基板3〇〇之部分327造 成甚至微小氧化的可能性。一 BTBAS方法是有利的,因為 與其他一些方法,比如一通常能在大約8〇(rc溫度下實行 的熱壁方法相比較,其能在大約55〇t與65(rc之間的低溫 下得以貫行。因此,在本發明的一項具體實施例中,可以 使用一 BTBAS方法來沈積該抗氧層326。 一範例方法係一 CVD方法,其可能包括對至少該基板 300之表面、該透氧性閘極介電質319之側面以及該閘極電 極318的側面及頂部進行加熱至大約50(rc與65〇t之間的 溫度,取好是600 C。接下來,在大約T〇rr的壓力下, 氮(NO分子以大約每秒2,000標準立方釐米(sccm),氨(Nh3) 以大約200 seem,及BTBAS以大約每分鐘8〇〇毫克(mgm)同 時流動。該Nr NH;及BTBAS組合以每秒大約认速率形成 氮化矽。该BTBAS方法法、封該抗氧層326至閘極電極31 8及 該閘極介電質3 19的側面。 一熟習此項技術者會發現在本發明的一些具體實施例中 ,沈積該薄抗氧層326的其他低溫方法亦得到有利應用。 同時,需要重點指出的是,如果不知什麼原因,一些氧側 擴散進入該透氧性問極介電質3 1 9,則一低溫方法有利於 87235.DOC -15- 200415732 限制4區域327的氧化,但如果沒有氧侧擴散進入該透氧性 閘極介私負3 1 9,則該高溫方法也可在沈積該抗氧層326中 底用。此外’如果僅微量的氧側擴散進入該透氧性閘極介 私貝3 1 0,則也可應用高溫方法,因為輕微氧化在一定程度 上疋可以接受的。 在本發明之一項具體實施例中,該薄抗氧層應沈積 到足夠厚度以作為對氧的擴散障壁層發揮作用’從而防止 在後績程序中,氧側擴散進入該透氧性閘極介電質319的 >!] 土仁疋該薄抗氧層326不得沈積得太厚以致於干擾 尖端植入物之後續形成’以下對此作進一步詳細說明。因 此,在本發明的—項具體實施财,該薄抗氧層326沈積在 大約2A與300A之間。 接下來’如圖31所示’採用一實質上垂直的、各向異性 的姓刻技術對該薄抗氧層326進行姓刻。一各向星性的蝕 刻技術使用-敍刻化學’其主要在垂直(各向異性)方向上 進行㈣,儘管可能發生少量的水平(各向同性)蚀刻。用 於㈣刻的-範例化學可能係具有一四氟化碳㈣)化學 的一標準氣化物㈣(例如,在一電漿產生器中的CF4H2或 cf4+〇2)。該各向異性的姓刻將該薄抗氧層326從該閉極電 極318之頂部以及該隔離區域如之頂部表面、該基板則 ^大部分頂部表面移除’但迅即移除至該問極結構324兩 則面之-的情形除外。但是,該各向異性姓刻沿該問極電 及Μ透氧性閘極介電質319側壁的整個長度留下該抗 虱層326的一部分330。
87235.DOC -16- 200415732 該部分3 3 0可稱為一「薄抗氧間隔物」,因其在外觀上與 在一電晶體製造過程中通常形成的傳統厚間隔物類似。但 是’一厚間隔物的通常功能係防止向該基板的一特定區域 垂直摻雜雜質,而留在該等側壁上的該部分3 3 〇的功能係 防止氧側擴散進入該透氧性閘極介電質3 19。此外,通常 的厚間隔物可能不一定是抗氧性的,可能包含從介面擴散 的氧,而且形成得更厚。結果,在此,留在該等側壁上的 該邵分3 3 0將稱為一「薄氧擴散障壁層」,因其功能之一係 作為氧擴散的一障壁層而發揮作用。 但疋,该薄氧擴散障壁層3 3 0覆蓋並密封該透氧性閘極 介電質3 1 9的側壁。如果不存在該薄氧擴散障壁層3 3 〇,則 在後續程序中直接應用的氧(〇2、Os等)或存在於大氣中的 周圍氧,會從側面藉由該透氧性閘極介電質31〇内的細孔 隙而進入位於該透氧性閘極介電質31〇下方的矽基板3〇〇, 氧化在通道區域327内的該矽基板,形成二氧化矽沈積。 藉由干擾流經該通道327的電流,該二氧化矽沈爭塑
質3 19之間的介面處形成。 L該閘極電極318與該閘極介電 由於二氧化矽係一介電質,所 以在該基板300内或在該閘極電極318内形成的二氧化石夕沈 積將增加該閘極介電質3丨9的實體厚度 。此外,因為二氧
87235.DOC -17- 200415732 化矽與該透氧性閘極介電質3丨9比較具有一相對較低k介電 值,則該閘極介電質319的有效電]^值會顯著增加,基本上 否疋了採用一高k介電材料的有利用途。 該薄氧擴散障壁層330的另一好處在於,接觸該抗氧間 隔物3 3 0的閘極電極3 1 8的邊緣也被密封起來。該薄抗氧擴 散障壁層330密封至該閘極電極3丨8的邊緣及該透氧性閘極 介電質3 1 邊緣,有助於提高該電晶體的熱電子壽命。 在形成孩氧擴散障壁層後,該方法可以立即繼續採用一 尖知?植入方法形成尖端3 4 0,或淺源極/汲極延伸部分, 如圖3J所tf。可以使用大量熟知的技術在該基板3〇〇内形 成尖端340。在本發明之一具體實施例中,該基板3〇〇摻雜 具有與該井303的導電性相反之導電性的離子。例如,如果 井〇 3 /、有P型導電性,則該尖端植入包括將n型的導電 離子,如神離子,植入該基板300的頂部中以形成傳統_ 大%區域。但是如果,如果井3〇3具有一 η型導電性,則該 尖端植入包括將ρ型的導電離子,如硼離子,植入該基板 300的頂部中以形成傳統的ρ尖端區域。該閘極電極保 護位於該閘極介電質319下方的基板區域以免於被植入離 〇 該植入劑量低於用於形成深源極/汲極接面的植入劑量, 以下作更為詳細之說明。例如,在本發明之_項具體實施 例中,茲等η型導電性離子可採取大約Ul〇15i〇ns/Cm2之一 植入劑量範圍沈積。另外,為確保該尖端34〇形成深度淺, 植入能量應低,例如1 0 kev左右。
87235.DOC -18- 200415732 在本發明之-具體實施例中,該等離子以—直接垂直的 角度(90°)植入以形成與該氧擴散障壁層33。之外緣側邊對 月的大吻340。’然後可以實行一快速熱處理(RTp)以驅動位 於該氧擴散障壁層330下方及部分位於該透氧性閘極介電 質319下方的尖端340。 仁疋,一热0此項技術者將認識到可以實行其他方法從 而以90。以外的其他一角度植入該淺尖端34〇。一成角度的 離子植入可能要求一略高的植入能量,因為該離子植入的 角度可能要求該等離子藉由氧擴散障壁層33〇或該透氧性 閘極介電質319的較低部分植入到達位於該氧擴散障壁層 330或該透氧性閘極介電質3 19下面的基板。 接下來,如圖3Κ至3L所示,該方法繼續形成一鄰近或接 觸孩薄氧擴散障壁層330外側壁的厚間隔物344。厚間隔物 344可以由氮化矽構成,或係氮化矽及氧化矽的一組合。在 本發明之一具體實施例中,該厚間隔物344係由一快速熱 氧化方法形成的一氧化物/氮化物/氧化物(〇Ν〇)合成物。 在本發明之另一具體實施例中,該厚間隔物344係一氮化 物/氧化物合成物。可藉由在該隔離區域3〇2、該尖端植入 340、該薄氧擴散障壁層330及該閘極電極31 8上覆毯式沈 積大約在50與100Α之間的一薄氧化層341而形成該氮化物/ 氧化物間隔物,如圖3Κ所示。該氧化層341的覆毯式沈積 可能包括任何熟知的CVD方法,其中包括一BTBAS方法。 將該沈積溫度保持低溫,最好在650°C,是有好處的,如此 可使▲熱此置不打擾該等植入尖端340。接下來,將—5〇〇
87235.DOC -19- 200415732 至1800A的厚氮化矽層342沈積到該氧化層341上。氮化石夕 層可以藉由標準CVD沈積方法形成,包括BTBASa積技術 。再/入保持该沈積溫度較低是有好處的。接下來,各向異 性地蝕刻氮化矽層342及氧化層341以形成厚間隔物344, 如圖3L所示。該厚間隔物344確保一後續的深植入不打擾 在該厚間隔物344下面的該尖端340。因此,如下面圖3Μ< 說明,該厚間隔物344形成至厚與寬得足以防止後續高劑 量深離子植入壓倒該厚間隔物344下方的淺尖端部分34〇。 接下來,如圖3Μ所示,該基板300接受一高劑量的深植 入方法,以形成在該井區域303内的深接面源極/汲極區域 348。該深植入包括植入具有同樣導電類型的離子,用以 形成該等尖端340。例如,如果井303係具有一 ρ型導電性, 則植入一 η型離子,而對於一 η型導電性井,則植入一 ρ型離 子。範例η型離子包括砷與磷,而範例ρ型離子則包括硼。 同時’如果該導電性閘極電極3丨8包括多晶矽,則該深植入 方法能用於在該閘極電極内摻雜多晶矽,如先前未摻雜的 話。在本發明之一具體實施例中,該深植入348形成至 lxl〇19/cm3與5xl02W之間的一濃度及大約〇·ΐ5至0.25微 米的一深度。可以實行一活化退火,以活化該尖端340及深 植入348。可在一氮大氣中,藉由一 rTP,在9〇(TC與1200 °C之間的溫度下,最好是⑺⑼它,實行該退火,大約1〇至 300秒鐘,最好是2〇秒。 由此已說明本發明的數項具體實施例。但是,熟習此項 技術者應明白,本發明不僅限於所述之具體實施例,而可
87235.DOC -20- 以在以下隨"料利_之 更而實施。 乾圍内進行修改與變 【圖式簡單說明】 本發二已藉由範例來進行解說,但本發明未限定於這些 〆、中相同的參考符號代表相同的元件,且其中: 二圖1對藉由在-依據先前技術之電晶體閉極結構中的一 高k閘極介電質之氧擴散的潛在效果作了說明。 圖2依據本發明之—具體實施例,說明具有一薄氧擴散障 壁層的一電晶體。 圖3A至3M依據本發明之一具體實施例,說明一形成一 具有一薄氧擴散障壁層之電晶體的方法,以防止藉由一透 氧性閘極電極的側氧擴散。 【圖式代表符號說明】 100 電晶體閘極結構 101 矽基板 102 閘極介電質 104 閘極電極 106 氧 108 二氧化矽沈積 200 電晶體 201 矽基板 202 透氧性問極介電質 204 閘極電極 87235.DOC -21 - 200415732 206 208 210 211 212 300 301 302 303 304 306 308 310 312 318 319 320 324 326 327 330 340 薄氧擴散障壁層 厚側壁間隔物 源極/汲極區域 源極/沒極延伸部分 深接面源極/汲極接觸區域 矽基板 井 場隔離區域 井 透氧性介電層 導電層 硬遮罩層 光阻遮罩/透氧性閘極介電質 硬遮罩 閘極電極 透氧性閘極介電質 頂部邊緣 閘極結構(閘極) 薄抗氧層 基板部分/通道區域 薄抗氧層326的一部分(薄抗氧間隔物) 小二山 大m 87235.DOC -22- 200415732 341 氧化層 342 厚氮化矽層 344 厚間隔物 348 深植入物 -23 -
87235.DOC

Claims (1)

  1. 200415732 拾、申請專利範圍: 1 · 一種方法,其包括: 在一石夕基板上形成一閘極結構,該閘極結構包括在一 透氧性閘極介電質上的一導電性閘極電極,該閘極結構 具有側壁;以及 在該閘極結構的一整個側壁長度上形成一薄氧擴散障 壁層,孩薄氧擴散障壁層係用於防止氧侧擴散進入該透 氧性閘極介電質。 2·如:請專利範圍第^之方法,其進—步包括在形成該 薄氧擴散障壁層後’立即在鄰近該閘極結構的該碎基板 内形成淺源極/汲極延伸部分。 3.如申請專利範圍第巧之方法,其中該透氧性介電層係 一高k介電材料。 4. 5. 如申請專利範圍第丨項之方法, 至大約2A與300A之間的一厚度 如申請專利範圍第1項之方法, 可擴散的氧。 其中該薄抗氧層係形成 〇 其中該薄抗氧層不含有 其中該薄抗氧層係一氮 其中該薄抗氧層係藉由 其中該薄抗氧層係藉由 其進,步包捂在—實質 6·如申請專利範圍第1項之方法 化物。 •如申请專利範圍第1項之方法 —低溫方法形成。 8 ·如申請專利範圍第1項之方法 一 BTBAS方法形成。 9·如申請專利範圍第1項之方法 87235.DOC 200415732 上無氧環境中實行該方法。 10·—種方法,其包括: 在一基板上沈積一高k介電層,該高]^介電層對氧擴散 具有1¾滲透性; 在遠向k介電層上沈積一導電層; 圖案化孩導電層及高]^介電層以在該基板上形成一閘 極結構,該閘極結構具有一導電閘極電極與一高k閘極 介電質,該導電閘極電極及高k閘極介電質具有垂直對齊 的側壁; 將薄抗氧層覆毯式沈積在該閘極結構上方以及該導 電閘極電極與高k閘極介電質之該等垂直對齊的側壁上, 琢薄抗氧層沈積至大約2A與300A之間的厚度;以及 各向異性蝕刻該薄抗氧層以在該閘極電極及該高 極介電質之該等垂直對齊的側壁上形成一薄氧擴散障壁 層。 11·如申請專利範圍第1〇項之方法,其中該導電層包含多晶 〇 •如申i專利範圍第1 〇項之方法,其中該薄抗氧絕緣層包 含氮化物。 13·如申請專利範圍第10項之方法,其中該薄抗氧層係採用 一低溫方法沈積。 1 4·如申請專利範圍第1 〇項之方法,其中該薄抗氧層係在一 低於650°c的溫度下沈積。 15 ·如申請專利範圍第10項之方法,其中該薄抗氧層不含有 87235.DOC 16.200415732 17. 18. 19. 20. 21. 22. 可擴散的氧。 一種裝置,其包含: 覆蓋於一基板上面的一透氧性閘極介電質; 在該透氧性閘極介電質上方的一導電閘極電極;以及 覆蓋該閘極介電質之該整個側壁長度的—薄氧擴散障 壁層’該薄氧擴散障壁層係用於防止氧側擴散進入該間 極介電質。 如申請專利範圍第16項之裝置,其中該閘極介電質係一 高k介電材料。 如申請專利範圍第16項之裝置,其中該導電結構係多晶 ^ 〇 如申巧專利範圍第16項之裝置,其中該薄氧擴散障壁層 具有大約在2A至300人之間的一厚度。 如申請專利範圍第16項之裝置,其中該薄氧擴散障壁層 包含一氮化物材料。 如申請專利範圍第16項之裝置,其中該薄氧擴散障壁層 不含有可擴散的氧。 一種積體電路,其包含: —矽基板; 覆蓋於該矽基板上面的一高k閘極介電質,該高k閘極 介電質具有側壁; 覆盖於該高k閘極介電質上面的一導電閘極電極;以及 覆盖該高k閘極介電質之該整個側壁長度的一薄氧擴 &障壁層’該薄氧擴散障壁層係用於防止氧側擴散進入 87235.DOC 200415732 該閘極介電質。 23. 如申請專利範圍第22項之積體電路,其進一步包含鄰近 該薄氧擴散障壁層的厚間隔物。 24. 如申請專利範圍第22項之積體電路,其中該薄氧擴散障 壁層包含一氮化物材料。 25. 如申請專利範圍第22項之積體電路,其中該薄氧擴散障 壁層不含有可擴散的氧。 26. 如申請專利範圍第22項之積體電路,其中該高k閘極介 電質具有一介電常數,其實質上高於二氧化矽之介電常 數。 27. 如申請專利範圍第22項之積體電路,其中該高k閘極介 電質包含一材料,該材料係來自於由金屬氧化物、鐵電 材料、非晶金屬碎酸鹽及碎酸鹽氧化物與順電材料所組 成的群組。 87235.DOC
TW092122267A 2002-08-14 2003-08-13 Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier TW200415732A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/219,726 US20040033677A1 (en) 2002-08-14 2002-08-14 Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier

Publications (1)

Publication Number Publication Date
TW200415732A true TW200415732A (en) 2004-08-16

Family

ID=31714786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092122267A TW200415732A (en) 2002-08-14 2003-08-13 Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier

Country Status (8)

Country Link
US (3) US20040033677A1 (zh)
EP (1) EP1433196B1 (zh)
CN (2) CN1282993C (zh)
AT (1) ATE357056T1 (zh)
AU (1) AU2003261334A1 (zh)
DE (1) DE60312467T2 (zh)
TW (1) TW200415732A (zh)
WO (1) WO2004017393A2 (zh)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7157341B2 (en) * 2004-10-01 2007-01-02 International Business Machines Corporation Gate stacks
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7226831B1 (en) * 2005-12-27 2007-06-05 Intel Corporation Device with scavenging spacer layer
US7407851B2 (en) * 2006-03-22 2008-08-05 Miller Gayle W DMOS device with sealed channel processing
US20070262399A1 (en) * 2006-05-10 2007-11-15 Gilbert Dewey Sealing spacer to reduce or eliminate lateral oxidation of a high-k gate dielectric
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7834382B2 (en) * 2007-01-05 2010-11-16 Macronix International Co., Ltd. Nitride read-only memory cell and method of manufacturing the same
US7955926B2 (en) * 2008-03-26 2011-06-07 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US8193586B2 (en) * 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US9263276B2 (en) * 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
US8450834B2 (en) 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120080749A1 (en) * 2010-09-30 2012-04-05 Purtell Robert J Umos semiconductor devices formed by low temperature processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8642371B2 (en) * 2011-04-06 2014-02-04 Shamsoddin Mohajerzadeh Method and system for fabricating ion-selective field-effect transistor (ISFET)
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
CN103050540B (zh) * 2012-12-20 2016-03-30 电子科技大学 使用高介电常数槽结构的低比导通电阻的横向功率器件
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
FR3013895B1 (fr) * 2013-11-25 2017-04-14 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9466492B2 (en) * 2014-05-02 2016-10-11 International Business Machines Corporation Method of lateral oxidation of NFET and PFET high-K gate stacks
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN109727864A (zh) * 2017-10-30 2019-05-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109994429B (zh) * 2017-12-29 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
CN110364476B (zh) * 2018-04-09 2022-03-22 无锡华润上华科技有限公司 一种半导体器件的制造方法
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5573964A (en) * 1995-11-17 1996-11-12 International Business Machines Corporation Method of making thin film transistor with a self-aligned bottom gate using diffusion from a dopant source layer
JP3684849B2 (ja) * 1997-06-17 2005-08-17 セイコーエプソン株式会社 Mis型電界効果トランジスタを含む半導体装置及びその製造方法
TW472398B (en) * 1997-06-27 2002-01-11 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US6172407B1 (en) * 1998-04-16 2001-01-09 Advanced Micro Devices, Inc. Source/drain and lightly doped drain formation at post interlevel dielectric isolation with high-K gate electrode design
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6110784A (en) * 1998-07-28 2000-08-29 Advanced Micro Devices, Inc. Method of integration of nitrogen bearing high K film
US6281075B1 (en) * 1999-01-27 2001-08-28 Sandisk Corporation Method of controlling of floating gate oxide growth by use of an oxygen barrier
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP2001210726A (ja) * 2000-01-24 2001-08-03 Hitachi Ltd 半導体装置及びその製造方法
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6383873B1 (en) * 2000-05-18 2002-05-07 Motorola, Inc. Process for forming a structure
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
US20030020111A1 (en) * 2001-07-16 2003-01-30 Bevan Malcolm J. Economic and low thermal budget spacer nitride process

Also Published As

Publication number Publication date
DE60312467D1 (de) 2007-04-26
US20040033678A1 (en) 2004-02-19
ATE357056T1 (de) 2007-04-15
CN1917150A (zh) 2007-02-21
EP1433196B1 (en) 2007-03-14
EP1433196A2 (en) 2004-06-30
US20080014730A1 (en) 2008-01-17
CN1547761A (zh) 2004-11-17
CN1282993C (zh) 2006-11-01
AU2003261334A1 (en) 2004-03-03
DE60312467T2 (de) 2007-12-13
US20040033677A1 (en) 2004-02-19
AU2003261334A8 (en) 2004-03-03
WO2004017393A3 (en) 2004-04-29
WO2004017393A2 (en) 2004-02-26

Similar Documents

Publication Publication Date Title
TW200415732A (en) Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
TWI446522B (zh) 半導體裝置及其製造方法
TWI236091B (en) Semiconductor device and method of making the same
CN105428304B (zh) 半导体结构与在鳍状装置之鳍状结构之间形成隔离的方法
JP3600476B2 (ja) 半導体装置の製造方法
TWI296851B (en) Process for fabricating a strained channel mosfet device
US9397175B2 (en) Multi-composition gate dielectric field effect transistors
US5972761A (en) Method of making MOS transistors with a gate-side air-gap structure and an extension ultra-shallow S/D junction
JP2001332547A (ja) 半導体装置及び半導体装置の製造方法
JP2002299607A (ja) Mis型電界効果トランジスタ及びこれの製造方法
TW200535923A (en) Method and apparatus for fabrication of matal-oxide semiconductor integrated circuit devices
JP2005079512A (ja) Mos型半導体装置及びその製造方法
TWI226667B (en) Transistor fabrication method
JP3581354B2 (ja) 電界効果トランジスタ
TWI241660B (en) Method of forming polysilicon gate structures with specific edge profiles for optimization of LDD offset spacing
JP2005064032A (ja) 半導体装置及びその製造方法
TWI380405B (en) Depletion-free mos using atomic-layer doping
TW200908156A (en) Method of manufacturing semiconductor device
KR100668954B1 (ko) 박막트랜지스터 제조 방법
US8420488B2 (en) Method of fabricating high voltage device
TWI241023B (en) Method for fabricating semiconductor device
TW201005809A (en) Semiconductor device and method of manufacturing the same
TWI255553B (en) Silicon on partial insulator MOSFET and method for manufacturing the same
TW516104B (en) Manufacture method of gate spacer
KR100382556B1 (ko) 반도체 소자의 격리막 제조방법