CN106415829B - 用于改良互连性能的保护通孔盖 - Google Patents

用于改良互连性能的保护通孔盖 Download PDF

Info

Publication number
CN106415829B
CN106415829B CN201580029003.0A CN201580029003A CN106415829B CN 106415829 B CN106415829 B CN 106415829B CN 201580029003 A CN201580029003 A CN 201580029003A CN 106415829 B CN106415829 B CN 106415829B
Authority
CN
China
Prior art keywords
layer
metal
thickness
semiconductor structure
cobalt
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580029003.0A
Other languages
English (en)
Other versions
CN106415829A (zh
Inventor
M·奈克
P·F·马
S·D·耐马尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106415829A publication Critical patent/CN106415829A/zh
Application granted granted Critical
Publication of CN106415829B publication Critical patent/CN106415829B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

形成半导体结构的示例性方法可包括蚀刻通孔以贯穿半导体结构而暴露出第一电路层互连金属。该方法可包括形成覆盖暴露的第一电路层互连金属的材料层。该方法还包括在通孔内形成阻障层且沿着该通孔的底部具有最少覆盖物。该方法附加地包括形成覆盖该材料层的第二电路层互连金属。

Description

用于改良互连性能的保护通孔盖
相关申请的交叉引用
本申请要求2014年5月30日提交的题为“PROTECTIVE VIA CAP FOR IMPROVEDINTERCONNECT PERFORMANCE”的美国非临时专利申请No.14/291,466的优先权。该申请的全部内容出于所有目的通过引用合并于此。
技术领域
本技术涉及半导体系统、工艺及设备。更具体的,本技术涉及用来改良互连结构以降低电迁移的系统、方法及结构。
背景技术
集成电路可包含超过一百万个的微电子场效晶体管,该等晶体管形成在基板上并协同运作以在该电路内执行各种功能。可靠地生产次半微米特征及更小特征是半导体器件的下一代超大规模集成(“VLSI”)及极大规模集成(“ULSI”)的关键技术的一。然而,随着集成电路技术的极限竖直地推动及扩展,VLSI及ULSI技术中的持续缩小的互连件尺寸在处理能力方面有着诸多附加要求。通孔及互连件的可靠形成对于集成电路成功及持续努力提高电路密度及单独基板和管芯的质量而言很重要。
随着特征尺寸缩小,电性连接各式各样的结构的互连件及通孔也缩小。然而,包括互连件及通孔在内的安全特征可能造成整个电路结构中的线路电阻及电阻-电容延迟剧增。此外,电迁移可能随着特征尺寸缩小而变得越来越具破坏性。
因此,需要能够用来生产高质量器件及结构的改良的系统及方法。本技术解决这些需求及其他需求。
发明内容
提出用于改进互连件及通孔性能的系统、结构及工艺。该等系统可为部件提供配置以允许在不改变环境或使结构暴露在周遭环境条件的情况下进行多种工艺。该等结构可包括可降低电迁移的集成电路结构。该等方法可提供对互连件中或跨互连件的原子移动的限制。
形成半导体结构的示例性方法可包括蚀刻通孔以贯穿半导体结构,其中该蚀刻步骤暴露出第一金属。该方法可包括在该暴露的第一金属上形成材料层,及该方法可进一步包括在该材料层上形成第二金属。在一些实施例中,该材料层可包括过渡金属或过渡金属氧化物,且在一些实施例中,该材料层可形成为达到介于约0.5纳米与10纳米之间的厚度。该方法可进一步包括在形成覆盖该材料层的该第二金属之前,先在该蚀刻的通孔内形成阻障层。沿该通孔的侧壁沉积可将该阻障层沉积达第一厚度,及在该材料层上将该阻障层沉积达第二厚度且该第二厚度小于该第一厚度。在所揭示的实施例中,除了该第二金属及阻障层外,该通孔可不包括其他材料。在实施例中,该阻障层可包括过渡金属(诸如锰),及该第一金属与该第二金属中的至少一者可包含铜。
在所揭示的实施例中,该第一金属可以是用于半导体结构的第一层面的互连件,及该第二金属可以是用于半导体结构的第二层面的互连件。该蚀刻操作可包括使该半导体结构的一部分接触来自电容耦合的等离子体的非反应性离子,且随后使该半导体结构的接触部分暴露于等离子体生成的反应性物种下。该蚀刻操作可作为单镶嵌或双镶嵌工艺的其中一部分来进行,及该半导体结构可在该蚀刻操作与材料层形成操作之间保持处于真空。
还揭示了在集成电路结构中形成保护盖的方法,该等方法包括蚀刻通孔以贯穿半导体结构。该半导体结构可包括至少第一电路层及第二电路层,且可进行该蚀刻步骤以贯穿该第二电路层而暴露该第一电路层内的互连金属。该等方法包括清洗该互连金属,且该等方法也可包括形成覆盖该暴露的第一金属的含钴保护盖。该等方法可又进一步包括沿该通孔的侧壁形成含锰的阻障层。在形成期间,阻障层可形成为覆盖该含钴保护盖达一厚度,该厚度小于沿着该通孔的侧壁所沉积的阻障层厚度的50%。在所揭示的实施例中,该阻障层在侧壁处可具有小于或约为10纳米的厚度。该等方法也可包括使用铜来填充该通孔从而直接覆盖该阻障层。
还揭示了半导体结构,且该等半导体结构可包括第一介电材料层及第一导电层,且该第一导电层至少部分设置在该第一介电材料层中。该等结构可包括第二介电材料层及第二导电层,且该第二导电层至少部分设置在该第二介电材料层中。该等结构也可包括第三导电层,该第三导电层设置在该第一导电层与该第二导电层之间。该第三导电层可包括导电材料,且该导电材料与该第一导电层或该第二导电层所含的材料不同。示例性的结构可包括具有第一部分及第二部分的第二导电层,该第一部分具有第一宽度及该第二部分具有第二宽度,且该第二宽度小于该第一宽度。该第二部分可设置成比该第一部分更接近该第一导电层。该结构还可包括第三介电材料层,该第三介电材料层设置在该第一介电材料层与该第二介电材料层之间。在所揭示的实施例中,该第三导电层可设置在该第一介电材料层与该第三介电材料层之间。该第三导电层可在该第一介电材料层与该第三介电材料层之间的一位置处包括第一厚度,且在该第一导电层与该第二导电层之间的一位置处包括第二厚度,且该第二厚度与该第一厚度不同。
此技术可提供胜过常规系统与技术的诸多好处。例如,随着电迁移效应降低,器件的寿命可能增加。附加优点是改善后的系统可缩短队列时间及减少器件氧化或腐蚀。现配合下述内容及附图更详细地说明这些实施例与其他实施例及该等实施例的特征及所带来的诸多优点。
附图说明
参阅说明书的其余部分及附图,可实现对所揭示的技术的本质与优点的进一步理解。
图1示出根据本技术的示例性处理系统的俯视平面图。
图2示出根据本技术的示例性处理腔室的示意性剖面图。
图3示出根据所揭示技术的实施例的在互连金属上形成选择性金属盖的方法。
图4示出使用减小的阻障层厚度所推算出的临界电流密度效应的图形模型。
图5示出多种阻障层材料的电迁移失效时间的双模型分布图。
图6示出根据所揭示技术的实施例的含有选择性金属盖的集成电路的一部分的示例性剖面结构。
图7示出根据所公开技术的实施例的在互连金属上形成选择性金属盖的方法。
某些附图被包括作为示意图。应明白该等附图仅为了说明的目的,且除非文中特别声明该图依规格比例所做,否则该等附图未按比例绘制。
在该等附图中,相似的部件及/或特征可具有相同的参考标记。此外,可借着在该参考标记后方所附一个用来区分该等相似部件的字母来区别同类型的各个不同部件。若在本说明书中仅使用首位参考标号,则不论该字母为何,该段描述内容皆适用于该等具有相同首位参考标号的相似部件中的任一者。
具体实施方式
本技术包括用于改进互连件与通孔性能及集成电路(“IC”)器件的总体电性能的系统、结构及方法。随着半导体特征尺寸的缩减,可能产生包括电性问题在内等诸多问题。许多IC器件使用铜或钨作为用于器件层内及器件层之间的通孔金属及互连金属。例如使用铜作为通孔金属及互连金属通常包括在沟槽及通孔内使用阻障层,以限制铜扩散进入周围层中,若铜扩散进入周围膜层中会造成短路或器件失效。此外,当器件特征及互连沟槽和通孔的尺寸缩小时,互连金属可能会因沟槽内的高深宽比而遭受不完整的填充。
常规技术经常利用内衬以改进缝隙填充来解决此劣化。然而,沟槽及通孔内含有越多的阻障层及内衬材料,能填入该体积中的铜越少。由于铜的导电性远胜于阻障层及内衬材料,所以通孔或沟槽内的铜越少,有效电阻越大,当组件诸多膜层各处的有效电阻加总在一起时,有效电阻会实质影响总体电阻-电容(“RC”)延迟。可通过薄化阻障层且甚至移除内衬来处理这些问题,然而这么做会让器件可能具有差的电迁移特性,此点将于以下配合图3及图4进行讨论。在另一方面,本技术使用盖层并可使用无阻障层通孔底部效应(barrier-less via bottom effect)来提供背向应力以抵销电迁移,并在通孔内提供最少量的非铜材料以使通孔及线路的电阻减至最小。因此相较于诸多常规设计而言,本发明中所描述的方法及结构提供更佳的性能及成本效益。以下将详细说明这些益处及其他益处。
尽管其余的揭示内容将照惯例举出使用所揭示技术的具体蚀刻工艺,但可轻易明白的是,该等系统及方法可等效地应用于可在所述腔室中进行的沉积工艺及清洁工艺上。因此,不应认为本技术仅可与蚀刻工艺并用。
图1示出根据实施例的由沉积腔室、蚀刻腔室、烘烤腔室及固化腔室所组成的处理系统100的一个实施例的俯视平面图。图1中所示的处理工具100可包含多个工艺腔室114A-114D、移送腔室110、检修腔室116、整合式度量腔室117及一对装载锁定腔室106A-106B。该等工艺腔室可包括与图2中所述者相似的结构或部件以及附加的处理腔室,附加的处理腔室可包括沉积腔室。
为了在该等腔室之间传送基板,移送腔室110可包含机器人传送机构113。传送机构113可具有一对基板传送叶片113A,这对基板传送叶片113A分别附连到可伸缩臂113B的远端。叶片113A可用于运载各个基板进入或离开工艺腔室。操作时,该传送机构113的基板传送叶片(诸如,叶片113A)中的一个可从装载锁定腔室(诸如腔室106A-B)中的一个腔室取出基板W并运载基板W至第一阶段的处理,例如在腔室114A-D中进行如以下所述的蚀刻工艺。若腔室已被占用,机器人可等候至处理完成,且随后使用一个叶片113A从腔室中移出已处理基板并使用第二叶片(未示出)插入新的基板。一旦基板被处理后,随后可移动该基板至第二阶段的处理,第二阶段的处理可包括沉积操作、处理操作,等等。对于每次移动,该传送机构113通常可用一个叶片运载基板并使一个叶片保持空的以执行基板交换。传送机构113可在每个腔室处等候直到完成交换。
一旦在工艺腔室内完成处理后,传送机构113可从最后的工艺腔室中移出基板W并将基板W移送至装载锁定腔室106A-B内的匣盒中。可将该基板从装载锁定腔室106A-B移动至工厂接口104。工厂接口104通常可运作以在装载锁定腔室106A-B与处于大气压洁净环境中的容器装载器105A-C之间移送基板。通常透过空气过滤工艺(诸如,HEPA过滤)来提供工厂接口104中的洁净环境。工厂接口104也可包括基板定向器/对准器(未示出),在进行处理前可使用基板定向器/对准器适当地对准基板。至少一个基板机器人(诸如机器人108A-B)可定位在工厂接口104中以用于在工厂接口104内的各种位置/场所之间移送基板以及将基板送往与工产接口104连通的其他位置。机器人108A-B可配置成可在外壳104内沿着轨道系统从工厂接口104的第一端行进至工厂接口104的第二端。
处理系统100可进一步包括整合式度量腔室117以提供控制信号,从而可提供对处理腔室内正在进行的任何工艺的适应性控制。整合式度量腔室117可包括各式各样的度量装置以测量各种膜性质(诸如厚度、粗糙度、成分),且度量装置可进一步能够以自动化方式在真空下表征光栅参数(诸如,临界尺寸、侧壁角度及特征高度)。
现参阅图2,图2示出根据本技术的示例性工艺腔室系统200的剖面图。腔室200可例如用于前述系统100的处理腔室部分114的其中一者或多者。通常,蚀刻腔室200可包括第一电容耦合的等离子体源以实现离子铣削(ion-milling)操作,且蚀刻腔室200可包括第二电容耦合的等离子体源以实现蚀刻操作并且实现任选的沉积操作。腔室200可包括接地的腔室壁240,腔室壁240围绕着卡盘250。在实施例中,卡盘250可以是静电卡盘以在处理期间将基板202夹紧在卡盘250的顶表面,但也可使用已知的其他夹紧机构。卡盘250可包括嵌入式热交换器盘管(coil)217。在示例性实施例中,热交换器盘管217包含一个或更多个热传递流体通道,热传递流体(诸如,乙二醇/水的混合物)可流经热传递流体通道以控制卡盘250的温度且最终控制基板202的温度。
卡盘250可包括网格249,网格249耦接至高压直流(DC)电源248,使得网格249可携带DC偏压电位以实现基板202的静电夹紧。卡盘250可与第一射频(RF)电源耦接,且在一此种实施例中,网格249可与第一RF电源耦接,以使DC电压偏移和RF电压电位跨卡盘250的顶表面上的薄介电层耦合。在示例性实施例中,该第一RF电源可包括第一RF产生器252及第二RF产生器253。RF产生器252及RF产生器253可以以任何工业用频率运作,然而在示例性实施例中,RF产生器252可以以60MHz运作以提供有利的方向性。在还提供第二RF产生器253的情况下,示例性频率可以是2MHz。
在对卡盘250RF供电的情况下,可通过第一喷淋头225提供RF返回路径。第一喷淋头225可设置在卡盘上方以将第一馈入气体分配至由第一喷淋头225与腔室壁240所界定的第一腔室区域284中。如此,卡盘250与第一喷淋头225形成第一RF耦合的电极对以电容式地激发第一腔室区域284中的第一馈入气体的第一等离子体270。经RF供电的卡盘的电容耦合所产生的DC等离子体偏压或RF偏压可产生从第一等离子体270至基板202的离子流(若第一馈入气体为Ar,则产生Ar离子)以提供离子铣削等离子体。第一喷淋头225可接地或可与RF电源228耦接,该RF电源228具有一个或更多个产生器,该一个或更多个产生器可使用与卡盘250不同的频率进行运作,例如以13.56MHz或60MHz的频率运作。在所示实施例中,第一喷淋头225可通过继电器227可选择地耦接至地或耦接至RF电源228,且在蚀刻工艺期间可例如使用控制器(未示出)自动控制该继电器227。在所揭示的实施例中,腔室200可能不包括喷淋头225或介电间隔物220,且腔室200可能改为仅包括挡板215及喷淋头210。
如图中进一步所示,蚀刻腔室200可包括泵堆叠(pump stack),该泵堆叠能在低工艺压力下提供高通量。在实施例中,至少一个涡轮分子泵265/涡轮分子泵266可经由一个或更多个闸阀260而与第一腔室区域284耦接,且涡轮分子泵265/涡轮分子泵266可设置在卡盘250下方且与第一喷淋头225相对。涡轮分子泵265及涡轮分子泵266可以是任何市售具有适当通量的泵,尤其涡轮分子泵265及涡轮分子泵266可适当地尺寸设定成在第一馈入气体的期望的流动速率(例如,若第一馈入气体为氩气,则以50sccm至500sccm的Ar)下使工艺压力维持低于或约为10毫托耳(mTorr)或更低或是维持约5毫托耳。在所示实施例中,卡盘250可形成基座的一部分,该基座置中地放置在两涡轮泵265与涡轮泵266之间,然而在替代的配置方案中,卡盘250可位在从腔室壁240悬伸而出的基座上并具有单个涡轮分子泵,且该涡轮分子泵的中心对准该卡盘250的中心。
可在第一喷淋头225的上方设置第二喷淋头210。在一实施例中,于处理期间,第一馈入气体源(例如,从气体分配系统290输送出的氩气)可耦接至气体入口276,且该第一馈入气体流经延伸通过第二喷淋头210的多个孔280而进入第二腔室区域281并通过延伸通过第一喷淋头225的多个孔282而进入第一腔室区域284。具有孔278的附加的气流分配器或挡板215可进一步跨蚀刻腔室200的贯穿分配区域218的直径分布第一馈入气流216。在替代实施例中,第一馈入气体可如虚线223所指示般经由孔283直接流入第一腔室区域284中,孔283与第二腔室区域281隔离。
腔室200可由图中所示的状态额外重新配置成进行蚀刻操作。辅助电极205可设置在第一喷淋头225上方,且在辅助电极205与第一喷淋头225之间具有第二腔室区域281。辅助电极205可进一步形成蚀刻腔室200的盖或顶板。可利用介电环220使辅助电极205与第一喷淋头225电性隔离,且辅助电极205与第一喷淋头225形成第二RF耦合的电极对以电容式地释放第二腔室区域281的第二馈入气体的第二等离子体292。有利地,第二等离子体292可能不在卡盘250上提供明显的RF偏压电位。该第二RF耦合的电极对中的至少一个电极可耦接至RF电源以激发蚀刻等离子体。辅助电极205可与第二喷淋头210电性耦接。在示例性实施例中,第一喷淋头225可与接地板耦接或为浮动状态(floating),且第一喷淋头225可经由继电器227接地,从而允许在离子铣削模式的操作期间也可利用RF电源228对第一喷淋头225供电。若第一喷淋头225接地,RF电源208(具有一个或更多个可以以13.56MHz或60MHz运作的RF产生器)可例如经由继电器207与辅助电极205连接,继电器207允许辅助电极205在其他操作模式期间(例如在离子铣削操作期间)也可接地,但若供电给第一喷淋头225时,也可使辅助电极205处于浮动状态。
可由气体分配系统290供应第二馈入气体源(诸如,三氟化氮)及氢源(诸如,氨),且第二馈入气体源及氢源可例如经由虚线224而与气体入口276耦接。在此模式中,第二馈入气体可流经第二喷淋头210,并可在第二腔室区域281中激发该第二馈入气体。反应性物种随后可进入第一腔室区域284以与基板202反应。如进一步所示,对于第一喷淋头225是多通道喷淋头的实施例而言,可提供一或更多种馈入气体以与第二等离子体292所生成的反应性物种反应。在一个这种实施例中,水源可与多个孔283耦接。
在实施例中,卡盘250可在与第一喷淋头225垂直的方向上沿着距离H2移动。卡盘250可位于被波纹管255包围的驱动机构等上而允许移动卡盘250以接近或远离第一喷淋头225,藉以作为控制卡盘250与第一喷淋头225间的热传递作用的手段,第一喷淋头225可处于80℃-150℃或更高的高温。如此,可通过相对于第一喷淋头225在第一预定位置与第二预定位置之间移动卡盘250来实现蚀刻工艺。或者,卡盘250可包括升降器251以用于将基板202从卡盘250的顶表面上举起一段距离H1,藉以在蚀刻工艺期间控制第一喷淋头225的加热作用。在其他实施例中,若于固定温度(诸如,约90℃-110℃)进行蚀刻工艺,则可避免卡盘位移机构。在蚀刻工艺期间,系统控制器(未示出)可通过自动交替对第一RF耦合的电极对和第二RF耦合的电极对的供电而交替地激发第一等离子体270及第二等离子体292。
腔室200也可重新配置以进行沉积操作。可利用上述用于产生第二等离子体292的方法中的任一种方法进行RF放电以在第二腔室区域281中产生等离子体292。若在沉积期间对第一喷淋头225供电以产生等离子体292,则可利用介电间隔物230来隔离该第一喷淋头225与接地的腔室壁240,使得第一喷淋头225相对于腔室壁240而言为电性浮动的。在示例性实施例中,可从气体分配系统290输送氧化剂馈入气体源(诸如,氧分子),且该氧化剂馈入气体源耦接至气体入口276。在第一喷淋头225是多通道喷淋头的实施例中,可从气体分配系统290输送任何含金属的前驱物(例如,含硅前驱物或其他含金属前驱物),并引导该含金属前驱物进入第一腔室区域284中以与来自等离子体292的通过第一喷淋头225的反应性物种进行反应。或者,该前驱物也可连同该氧化剂一起流过气体入口276。
图3示出根据本技术的形成具有改善电特性的半导体结构的方法300。方法300至少部分可例如在腔室200中进行,或可在配置用来进行蚀刻操作及/或沉积操作的一或更多种其他工艺腔室中进行方法300。在所揭示的实施例中,单一工艺工具(诸如,前述的工艺工具100)可包含一个或更多个腔室。通过维持单一工具内的一个或更多个腔室,可使半导体器件保持处在受调节的环境中。例如,该工艺工具可保持真空环境,且通过使该器件在处理期间始终保持处在该工具环境内,可使该器件不暴露在周遭空气中。由于铜及其他金属在周遭环境条件(包括潮湿环境)中可能会氧化或腐蚀,因此通过在单一工具环境内进行所有操作可改进器件质量。因此,在所揭示的实施例中,可在蚀刻操作与材料层的形成之间使该半导体器件保持处于真空下。
方法300可始于在半导体结构上进行蚀刻操作310。在所揭示的实施例中,该半导体结构可包括一个或更多个IC层(例如至少两个IC层),且该半导体结构(例如在底部电路结构中)可包括至少一金属层。蚀刻操作310可形成贯穿一个或更多个材料层的通孔以暴露出位于下层中的第一金属(诸如,金属化层)。方法300也可包括于操作320中在暴露的第一金属上形成材料层。在所示实施例中,该材料层可包含与第一金属不相同的材料,且该材料层可包含导电材料和/或含金属材料。方法300可进一步包括于操作330中在该材料层上形成第二金属,且在所示实施例中,该第二金属可与第一金属相同或不同。例如,在实施例中,第一金属及第二金属可皆为铜或钨,且在所揭示的实施例中,第一金属及第二金属可以是任何可用于电性连接(例如通孔或互连件)的其他填充材料。在一实施例中,第一金属及第二金属两者皆为铜,且该第一金属形成用于半导体结构的第一层面或IC层的互连结构,及该第二金属形成用于半导体结构的第二层面或IC层的互连结构。
在所揭示的实施例中,操作320中所形成的材料层可包括导电材料或金属。例如,该导电材料可包括过渡金属或过度金属氧化物,例如可包括钴、锰、钨,等等。此外,可依据所使用的互连金属或填充金属来选择该导电材料。例如,若使用钴作为填充金属,则可使用不同的金属作为导电材料,例如使用钨或铜或某些其他金属,从而避免该互连金属与该导电材料或盖材料皆使用相同金属。在所揭示的实施例中,该导电材料可包括钴、钌、钽,等等,及各种其他金属及过渡金属。在一实施例中,该导电材料包括钴,可在暴露的第一金属(例如在下IC层中的含铜的互连金属)上形成一层钴。可利用各种沉积技术中的任何技术,包括利用数种已知方法(包括气相沉积法、热沉积法和/或等离子体沉积法)中的任何方法进行循环沉积或直接沉积,来沉积该导电材料。在所揭示的实施例中,可选择该材料的特定前驱物以在暴露的互连金属上沉积或形成该材料层,且沿着沟槽或通孔侧壁不会形成任何该导电材料或形成最少的该导电材料。
在某些实施例中,可通过在原位点燃氢气、氨或某些其他还原性前驱物或组合物所形成的等离子体或使用来自远程处理腔室的等离子体来形成层或可在形成层之后使层暴露于等离子体中。可通过使惰性气体所携带的金属来源气体(例如钴)受热分解来沉积导电材料。还原性气体可连同金属来源气体一同流入处理腔室中,或还原性气体可与金属来源气体以交替脉冲方式流入该处理腔室。可加热基板达到介于约50℃至约600℃范围间的温度,例如达到介于约100℃至约500℃或例如约200℃至约400℃间的温度。或者,可在原子层沉积(ALD)工艺或化学气相沉积(CVD)工艺(包括各种等离子体增强CVD和/或ALD工艺)中使基板暴露于金属来源气体(例如钴来源气体)来沉积材料层或一些材料层。
在所揭示的实施例中,金属化合物可包括一或更多种钴物质,且可利用CVD或ALD工艺使用合适的钴前驱物来形成材料层内所含的钴物质(例如,金属钴或钴合金),合适的钴前驱物包括羰基钴错合物、脒基钴化合物、二茂钴化合物、二烯基钴错合物、亚硝基钴错合物、上述前驱物的衍生物、上述前驱物的错合物、上述前驱物的等离子体或上述前驱物的组合物。
在某些实施例中,在气相沉积工艺期间可使用羰基钴化合物或羰基钴错合物作为钴前驱物以用于形成钴材料。羰基钴化合物或羰基钴错合物具有化学通式(CO)xCoyLz,其中X可以是1、2、3、4、5、6、7、8、9、10、11或12,Y可以是1、2、3、4或5,及Z可以是1、2、3、4、5、6、7或8。基团L可以是缺乏的、一个配位基或多个配位基,且该多个配位基可以是相同配位基或不同配位基,且基团L可包括环戊二烯基、烷基环戊二烯基(例如,甲基环戊二烯基或五甲基环戊二烯基)、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、乙烯基(ethylene)、烯丙基(或丙烯基)、烯烃、二烯烃、炔烃、乙炔、丁基乙炔、亚硝基、氨、上述化合物的衍生物、上述化合物的错合物、上述化合物的等离子体或上述化合物的组合物。
在另一实施例中,在气相沉积工艺期间可使用脒基钴或酰胺基钴错合物作为钴前驱物以用于形成钴材料。酰胺基钴错合物具有化学通式(RR'N)xCo,其中X可以是1、2或3,且R及R'独立地为氢、甲基、乙基、丙基、丁基、烷基、硅烷基、烷基硅烷基、上述基团的衍生物或组合物。一些示例性的酰胺基钴错合物包括双(二(丁基二甲基硅烷基)酰胺基)钴(bis(di(butyldimethylsilyl)amido)cobalt)、双(二(乙基二甲基硅烷基)酰胺基)钴(bis(di(ethyldimethylsilyl)amido)cobalt)、双(二(丙基二甲基硅烷基)酰胺基)钴(bis(di(propyldimethylsilyl)amido)cobalt)、双(二(三甲基硅烷基)酰胺基)钴(bis(di(trimethylsilyl)amido)cobalt)、三(二(三甲基硅烷基)酰胺基)钴(tris(di(trimethylsilyl)amido)cobalt)、上述化合物的衍生物、上述化合物的错合物、上述化合物的等离子体或上述化合物的组合物。
示例性的钴前驱物包括双(羰基)甲基环戊二烯钴(methylcyclopentadienylcobalt bis(carbonyl))、双(羰基)乙基环戊二烯钴(ethylcyclopentadienyl cobalt bis(carbonyl))、双(羰基)五甲基环戊二烯钴(pentamethylcyclopentadienyl cobalt bis(carbonyl))、八(羰基)二钴(dicobalt octa(carbonyl))、三(羰基)亚硝基钴(nitrosylcobalt tris(carbonyl))、双(环戊二烯)钴(bis(cyclopentadienyl)cobalt)、(环己二烯基)(环戊二烯基)钴((cyclopentadienyl)cobalt(cyclohexadienyl))、(1,3-己二烯基)环戊二烯钴(cyclopentadienyl cobalt(1,3-hexadienyl))、(环丁二烯基)(环戊二烯基)钴((cyclobutadienyl)cobalt(cyclopentadienyl))、双(甲基环戊二烯)钴(bis(methylcyclopentadienyl)cobalt)、(5-甲基环戊二烯基)(环戊二烯基)钴((cyclopentadienyl)cobalt(5-methylcyclopentadienyl))、双(乙烯)(五甲基环戊二烯)钴(bis(ethylene)cobalt(pentamethylcyclopentadienyl))、碘化四羰基钴(cobalttetracarbonyl iodide)、四羰基三氯硅烷钴(cobalt tetracarbonyl trichlorosilane)、氯化羰基三(三甲基膦)钴(carbonyl chloride tris(trimethylphosphine)cobalt)、三羰基-氢三丁基膦钴(cobalt tricarbonyl-hydrotributylphosphine)、乙炔六羰基二钴(acetylene dicobalt hexacarbonyl)、乙炔五羰基三乙基膦二钴(acetylene dicobaltpentacarbonyl triethylphosphine)、上述化合物的衍生物、上述化合物的错合物、上述化合物的等离子体或上述化合物的组合物。
于某些示例中,在如本文中所述的气相沉积工艺期间可使用替代的试剂(包括还原剂)与钴前驱物并用以用于形成钴材料。这些替代的试剂可包括氢(例如,H2或原子氢)、氮(N2或原子氮)、氨(NH3)、联氨(N2H4)、氢与氨的混合物、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、磷化氢(PH3)、上述试剂的衍生物、上述试剂的等离子体或上述试剂的组合物。
可将含钴材料沉积至具有范围介于约至约(诸如约至约)内的厚度。在其他实施例中,可在表面氧化工艺期间使至少一部分的含钴材料氧化以形成氧化钴层。在一实施例中,由含钴层的上部形成氧化钴。在所揭示的实施例中,可通过使含钴层完全氧化或基本上氧化且因此被消耗以形成氧化钴层。
在所揭示的实施例中,蚀刻操作310可包括形成沟槽及通孔两者。例如,蚀刻操作310可包括形成较宽的沟槽及较窄的通孔且该通孔延伸至下方金属层。也可进行该蚀刻操作以贯穿介电材料层或蚀刻终止层中的一层或更多层,例如在所揭示的实施例中,可连续或以不连续的时间间隔来进行该蚀刻操作。例如,可在能够进行离子铣削操作且接着进行蚀刻操作的腔室(诸如,上述腔室200)中进行蚀刻操作310。此外,可使用多个蚀刻腔室。例如,可利用掩模层针对沟槽和通孔开口进行蚀刻操作,蚀刻操作可停止在介于上IC层与下IC层之间的蚀刻终止层处。随后可进行上述的离子铣削及蚀刻操作以打通该蚀刻终止层而暴露出下方金属。操作310可包括使半导体结构的一部分与来自于如上述电容耦合的等离子体的非反应性离子接触,且随后使该半导体结构的接触部分暴露于等离子体生成的反应性物种下。依此方式,可针对欲进行蚀刻的各层来选择性地进行该蚀刻工艺310,并可在损坏下方膜层之前停止蚀刻,如此可允许以不同的顺序来沉积材料层。
在所揭示的实施例中,方法300的工艺可作为镶嵌工艺(包括单镶嵌或双镶嵌工艺)的一部分。在形成该下方IC层之后可形成选择性金属盖。在形成后续或上方的层后,可使沟槽及通孔的蚀刻延伸至该下方层中的互连金属。由于工艺不完美,这种蚀刻可能蚀穿形成在第一层面互连金属上方的选择性金属盖部分。后面将会解释,此种情况可能造成电迁移问题。然而,使用所述的离子铣削及蚀刻工艺,可通过使用特殊化学剂来调整蚀刻,以在触及钴层后便终止蚀刻而不会造成钴层损伤。在所揭示的实施例中,该钴材料可能发生反应而形成表层副产物,在蚀刻工艺期间将不会蚀刻该表层副产物。当蚀刻操作完成时,可移动晶片而例如使该晶片靠近加热元件,从而造成副产物升华以暴露出下方的钴。可在所形成的选择性薄金属盖上沉积附加的含钴材料,或者,若该层在蚀刻工艺310期间受到损伤,则可对该层进行修复。
沉积该材料层后,方法300还可包括在形成覆盖该材料层的第二金属之前,先在所蚀刻的通孔和/或沟槽内形成阻障层。该阻障层可包括多种材料,该等材料包括金属、非金属、过渡金属或主族金属(poor metal)材料,包括锰、钽、镍等的非排他性列表。阻障层材料可包括过渡金属及含过渡金属的材料,例如其氮化物、氧化物、碳化物、硼化物等等,例如,诸如氮化锰。该材料可包括各种合金或其他材料(例如陶瓷或类陶瓷材料)或任何适用于降低或防止铜、钨或其他互连材料扩散进入周遭材料中的其他材料。虽然所揭示的实施例可包括在沟槽中形成内衬,但所揭示的实施例在沟槽和/或通孔中也可能除了该第二金属(例如,铜)以外不含其他材料。如上所述,互连金属占据的体积越少,则通过该等层的电阻越大,且该器件的延迟及整个结构的功率损耗越大。因此,本技术可使沟槽内被通孔导体所占据的体积最大化。
可以多种方式,包括物理沉积工艺和/或CVD或ALD工艺以及无电镀式(E-less)形成工艺或其他形成工艺,来形成该阻障层材料。在所揭示的实施例中,该阻障层材料可包括锰,例如,诸如用CVD所沉积的氮化锰或硅酸锰。通过使用此种工艺,可进行无底式通孔填充,无底式通孔填充具有完全或基本上完全的侧壁覆盖,但在通孔底部处具有最少或减少的覆盖物。例如,可沿着通孔的侧壁沉积阻障层达到第一厚度,并在所形成的该材料层上沉积阻障层达到第二厚度,且该第二厚度小于该第一厚度。这么做可有助于通过最小化该空间内的附加材料而进一步最大化填充金属(诸如填充于通孔内的铜)。然而,本案发明人也确定一些可能是由无底式通孔现象所造成的作用可能对电迁移产生影响。
由于较少的覆盖物提供的较低电阻,无底式通孔方案可能有好处。然而,下层面互连金属与上层面互连金属之间的覆盖物减少可能导致浮现出电迁移的问题。换而言之,电迁移问题集中在电子通量(electron flux)及填充金属原子(诸如,铜原子)的移动这两者上。在上层面的铜与下层面的铜之间(或任何用来形成上方与下方互连金属及通孔金属的两个相似金属之间)没有接触或几乎不接触的情况下,电迁移通量可能使引发金属原子移动的情况增加。这可能造成在互连结构中形成空穴而可能加速器件失效。常规技术建议使用短的互连件(诸如长度小于50微米的互连件),短互连件可由背向应力停止(back stressstop)来提供足够的背向应力来抵销任何能实际移动铜原子的电子通量。然而,如图4所示,此做法是不够的。
图4图示使用减小的阻障层厚度所推算出的临界电流密度效应的模型。然而,测试显示模型随着阻障层宽度按比例变化(scaled)而失效。如图中在阻障层为10纳米厚的位置410处所示,模型随着临界电流密度实质降低而失效代表当宽度缩小时,短的互连件长度仍旧无法克服电迁移及缩短组件寿命的问题。反观长的互连件(诸如,超过200微米)的谱,则可能发生不同现象。图5示出针对控制阻障层510以及针对氮化锰520的无底式通孔形成的电迁移失效测试测量值。控制阻障层510显示出较低的失效时间,然而无底式通孔阻障层520所测出的失效时间改进超过7倍,显示无底式通孔阻障层是一项大幅改善的设计。
然而,进一步测试显示这是由于电迁移造成的移动所发展出的错误信号。提供看似较长的电迁移失效时间的结构实际上劣化其下方结构。通常,电迁移会受电子通量的方向影响而造成在上表面中形成空穴。然而,在使用无底式通孔阻障层且该上层互连金属与下层互连金属接触的情况下,该通量确实会造成将铜从下层的IC层中拉出,从而在该下层中形成空穴并造成结构断裂。虽然该器件在失效测试过程中呈现持续运作状态,但这持续运作的状态事实上是由于铜原子从下层移动到上层所造成,代表着器件失效实际上可能更早发生。
令人惊讶的是,虽然本案发明人已确定通过在下层互连金属上结合盖层且同时还使用无底部的通孔阻障层时,该盖层可提供用来与电子通量达成平衡的背向应力以防止铜原子移动,同时通过减少阻障层的厚度及用于阻障层的材料量来额外减少通孔及线路的电阻。随后将铜填入该通孔及沟槽的较大体积中而有助于减少通孔电阻及整个器件的总体RC延迟。应了解,常规上是以铜作为填充金属,但也可使用任何已知的填充金属或导电金属,包括钨、钴,等等。
图6示出根据本技术的实施例的包含选择性金属盖的集成电路600的部分的示例剖面结构。可通过本申请文件中的其他处所述方法中的任何方法来形成结构600,并可在本文中所述的任何腔室或工艺工具中形成该结构600,例如可使用诸多用来进行微影、沉积及蚀刻的其他已知工艺及腔室来形成结构600。该图示出部分地根据所揭示技术制造的双镶嵌互连结构。然而容易理解的是,本技术可运用在包含其他双镶嵌工艺或单镶嵌工艺的更简单或更复杂的结构上。也明白,IC器件经常包含多个双镶嵌结构,而本技术可用于此种结构上,因此本技术不应局限于此图。
IC600的结构包含两层结构及数个可能包含的示例性层。也可包含更多或更少个层,包括更多或更少的介电层、特征、器件、蚀刻终止层,等等。图中所示的结构600包含下层,在所示实施例中,该下层包含底部电介质605、第一介电材料层或第一层间电介质610及上电介质615,该上电介质615可以是蚀刻终止层。该等介电层可包括各种低介电常数(k)电介质中的任何电介质,包括硅基电介质(包括硅的氮化物、氧化物、碳化物,等等)。下层也包括金属层635,金属层635可以是第一导电层且该第一导电层至少部分设置在第一介电层内,且该第一导电层可例如是铜互连金属。在所揭示的实施例中,该下层也可包括选择性盖或第三导电层,可在对金属635及介电层610进行研磨之后,在介电层610与介电层615之间形成该选择性盖或第三导电层。
针对上半部结构,器件可例如包括第二介电层620以及上介电层或蚀刻终止层625以及任选的硬掩模层630。第二介电层620可覆盖于介电层615上,该介电层615可视为是设置在第一介电层与第二介电层之间的第三介电层。第二导电层640可包括上方的互连件及通孔材料,且第二导电层640可至少部分设置在该第二介电层620中。导电层640可包括第一部分或上部分643及第二部分或下部分646,该第一部分或上部分643具有第一宽度,该第二部分或下部分646具有第二宽度且该第二宽度小于该第一宽度。如图所示,第二部分646可设置成比该第一部分643更接近该第一导电层。在所揭示的实施例中,沟槽643与通孔646可分开蚀刻,且可在多个蚀刻操作中形成该结构。
第三导电层645可设置在第一导电层635与第二导电层640之间。第三导电层645可包含导电材料且该导电材料与第一导电层或第二导电层中所含的材料不同。结构600中可使用先前所讨论的材料中的任何材料或方法,例如,在一实施例中,第一导电层及第二导电层可包含铜,及第三导电层645可包含钴。结构600也可包括阻障层且该阻障层至少部分设置在沟槽及通孔的侧壁650上。该阻障层也可包括或不包括在第三导电材料645上的覆盖物(coverage)653。在实施例中,阻障层可具有小于或约为20纳米的侧壁厚度,且该阻障层可小于或约为15纳米、10纳米、9纳米、8纳米、7纳米、6纳米、5纳米、4纳米、3纳米、2纳米、1纳米,等等。该阻障层可包括一或更多种材料,诸如该阻障层可包含一材料及该材料的氮化物或包含两种不同材料。在所揭示的实施例中,该阻障层在区域653中可包含较少的覆盖物,且可包含一阻障层厚度,该阻障层厚度可小于、等于或约为该侧壁650的覆盖物的90%,且可小于、等于或约为80%、70%、60%、50%、40%、30%、20%、10%、5%、1%或包含在该等范围内的任何其他数值或较小范围。在选择性盖定位在第一电介质610与第三电介质615之间的情况下,该盖的材料可与第三导电材料相同。此外,该盖在第一电介质610与第三电介质615之间可具有第一厚度。第三导电材料可具有第二厚度,且该第二厚度与该盖的第一厚度不同。在所揭示的实施例中,该第二厚度可大于或小于该第一厚度。
回到图7,图7示出根据所揭示技术的在互连金属上形成盖的方法700。方法700可包括前述方法操作中的部分操作或所有操作,且可例如在腔室200中或在多种其他腔室中进行方法700。可使用部分的方法700或使用经某些修改形式的方法700来制造结构600或其他结构。方法700包括蚀刻通孔710而使通孔710贯穿半导体结构。在所揭示的实施例中,该结构可至少包括第一电路层及在该第一电路层上的第二电路层。可进行该蚀刻操作710以贯穿该第二电路层而暴露出在第一电路中的互连金属。在操作720,可清洗下方的互连金属。可进行诸多清洗工艺中的任何清洗工艺,包括溅射工艺、可包含原位氢等离子体的反应性预清洗工艺、利用异地氢等离子体进行的活性预清洗工艺、UV或任何可能包含由各种前驱物所形成的一或多种等离子体物种以用于清洗下方互连金属表面的其他清洗方法。
方法700还可包括在操作730中,于暴露的第一金属上形成含钴保护盖。可使用前述方式中的任一种方式来形成该含钴盖。方法700还可包括在操作740中沿着该通孔的侧壁形成含锰阻障层。该阻障层可沉积以覆盖含钴保护盖达一厚度,该厚度比沿着该通孔侧壁所沉积的阻障层厚度的50%要小。在实施例中,该阻障层在侧壁处可具有小于10纳米或约10纳米的厚度,且该阻障层在该保护盖上可具有不连续或最少的覆盖。方法700也可包括在操作750中使用铜来填充该通孔从而直接覆盖阻障层。
在前述说明内容中举出诸多细节以供解说的用,以帮助了解本发明的各种实施例。然而所属技术领域中熟悉该项技艺者明白可在不使用这些细节内容中的部分细节或是使用附加细节的情况下实施某些实施例。
虽已揭示数个实施例,但所属技术领域中熟悉该项技艺者将明白在不偏离实施例的精神下,可做出各种修饰变化、替代配置方案及使用等效物。此外,未对诸多众所皆知的工艺及器件进行描述,以避免不必要地模糊本技术。因此,以上所述内容不应用来限制本技术的范围。
当提供一数值范围时,应了解到这也具体揭示了介于该范围上下限值之间的每个居间数值(至该下限值的单位的最小分数,除非文中另有明确指示)。本发明涵盖介于所述范围中任一陈述值(或未陈述的居间值)与该所述范围中任一其他陈述值(或其他未陈述的居间值)之间的任何较窄范围。该范围中可各自包括或排除该等较小范围的上限值及下限值,且本发明也涵盖每一个包含其中一限值、不含限值或两限值皆具的较小范围,端取决于所述范围中是否有任何明确排出的限值而定。若所述范围包含该等限值的其中一者或两者时,本发明也包括排除了其中一个或两个所含限值的范围。
当用于本文中及后附权利要求中时,除非为中另有明确指示,否则单数用语“一”、“一个”及“该”包括复数的引用。因此,例如当提到“电介质”时可包括多个此种电介质,及当提到“该层”时包括提及一或更多层及所属技术领域中熟悉该项技艺者知悉的等效物,并依此类推。
同样,当本说明书及后附权利要求中使用“包括”、“包含”、“含有”、“含”、“具有”及“有”等术语时,该等术语意欲指出所述特征、整数、部件或操作的存在,但该等术语并不排除可能存在有或附加的一个或更多个其他特征、整数、部件、操作、动作或群组。

Claims (17)

1.一种形成半导体结构的方法,所述方法包括以下步骤:
蚀刻通孔以贯穿半导体结构,其中所述蚀刻步骤暴露出第一金属;
形成覆盖暴露的第一金属的材料层;
在蚀刻的通孔内形成阻障层,其中沿所述通孔的侧壁将所述阻障层沉积达第一厚度,且在所述材料层上将所述阻障层沉积达第二厚度,所述第二厚度小于所述第一厚度;以及
形成覆盖所述材料层的第二金属。
2.如权利要求1所述的方法,其中所述材料层包括过渡金属或过渡金属氧化物。
3.如权利要求1所述的方法,其中将所述材料层形成为达到介于0.5纳米与10纳米之间的厚度。
4.如权利要求1所述的方法,其中除了所述材料层、所述第二金属及所述阻障层材料外,所述通孔不包括其他材料。
5.如权利要求4所述的方法,其中所述阻障层包含过渡金属。
6.如权利要求5所述的方法,其中所述阻障层包含锰。
7.如权利要求1所述的方法,其中所述第一金属及所述第二金属中的至少一者包含铜。
8.如权利要求1所述的方法,其中所述第一金属包括互连件,用于半导体结构的第一层面,以及所述第二金属包括互连件,用于半导体结构的第二层面。
9.如权利要求1所述的方法,其中所述蚀刻步骤的至少一部分包括以下步骤:
使所述半导体结构的一部分接触来自电容耦合的等离子体的非反应性离子;以及
使所述半导体结构的接触部分暴露于等离子体生成的反应性物种下。
10.如权利要求1所述的方法,其中进行所述蚀刻步骤作为单镶嵌或双镶嵌工艺的操作。
11.如权利要求1所述的方法,其中在所述蚀刻操作与材料层形成操作之间,所述半导体结构保持处于真空。
12.一种在集成电路结构中形成保护盖的方法,所述方法包括以下步骤:
蚀刻通孔以贯穿半导体结构,其中所述半导体结构包括至少第一电路层及第二电路层,且其中进行所述蚀刻步骤以贯穿所述第二电路层而暴露所述第一电路层内的互连金属;
清洗所述互连金属;
形成覆盖暴露的第一金属的含钴保护盖;
沿所述通孔的侧壁形成含锰的阻障层,其中所述阻障层形成为覆盖所述含钴保护盖达一厚度,所述厚度小于沿着所述通孔的侧壁所沉积的阻障层的厚度的50%,且其中所述阻障层在侧壁处具有10纳米或更小的厚度;以及
使用铜填充所述通孔从而直接覆盖所述阻障层。
13.一种半导体结构,所述半导体结构包括:
第一介电材料层;
第一导电层,所述第一导电层至少部分地设置在所述第一介电材料层中;
第二介电材料层;
第二导电层,所述第二导电层至少部分地设置在所述第二介电材料层中;
第三导电层,所述第三导电层设置在所述第一导电层与所述第二导电层之间,其中所述第三导电层包括导电材料,所述导电材料与所述第一导电层或所述第二导电层所含的材料不同;以及
阻障层,所述阻障层在所述第二介电材料层与所述第二导电层之间沉积达第一厚度,且在所述第二导电层和所述第三导电层之间沉积达第二厚度,所述第二厚度小于所述第一厚度。
14.如权利要求13所述的半导体结构,其中所述第二导电层包括具有第一宽度的第一部分及具有第二宽度的第二部分,所述第二宽度小于所述第一宽度,其中所述第二部分设置成比所述第一部分更接近所述第一导电层。
15.如权利要求13所述的半导体结构,进一步包括第三介电材料层,所述第三介电材料层定位在所述第一介电材料层与所述第二介电材料层之间。
16.如权利要求15所述的半导体结构,其中所述第三导电层定位在所述第一介电材料层与所述第三介电材料层之间。
17.如权利要求16所述的半导体结构,其中所述第三导电层在所述第一介电材料层与所述第三介电材料层之间的一位置处包括第一厚度,且在所述第一导电层与所述第二导电层之间的一位置处包括第二厚度,所述第二厚度与所述第一厚度不同。
CN201580029003.0A 2014-05-30 2015-05-29 用于改良互连性能的保护通孔盖 Active CN106415829B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/291,466 2014-05-30
US14/291,466 US9847289B2 (en) 2014-05-30 2014-05-30 Protective via cap for improved interconnect performance
PCT/US2015/033340 WO2015184362A1 (en) 2014-05-30 2015-05-29 Protective via cap for improved interconnect performance

Publications (2)

Publication Number Publication Date
CN106415829A CN106415829A (zh) 2017-02-15
CN106415829B true CN106415829B (zh) 2019-12-17

Family

ID=54699917

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580029003.0A Active CN106415829B (zh) 2014-05-30 2015-05-29 用于改良互连性能的保护通孔盖

Country Status (5)

Country Link
US (1) US9847289B2 (zh)
KR (1) KR102470564B1 (zh)
CN (1) CN106415829B (zh)
TW (1) TWI660458B (zh)
WO (1) WO2015184362A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
TW202341349A (zh) * 2017-11-30 2023-10-16 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
KR20230006054A (ko) * 2017-11-30 2023-01-10 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
EP4288999A1 (en) 2021-02-08 2023-12-13 MacDermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation
US20230227975A1 (en) * 2021-12-30 2023-07-20 Applied Materials, Inc. Method of depositing metal films

Family Cites Families (846)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
WO1994000251A1 (en) 1992-06-22 1994-01-06 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07297543A (ja) 1994-04-25 1995-11-10 Sumitomo Metal Mining Co Ltd プリント配線板用金属被覆ガラスエポキシ樹脂基板
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024760A1 (fr) 1995-12-28 1997-07-10 Nippon Sanso Corporation Procede et dispositif de transfert de substrats en plaques minces
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6054380A (en) * 1997-12-09 2000-04-25 Applied Materials, Inc. Method and apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
WO2001046492A1 (en) 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
KR100767294B1 (ko) 2000-06-23 2007-10-16 캐논 아네르바 가부시키가이샤 Cvd장치
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6663787B1 (en) * 2001-02-06 2003-12-16 Advanced Micro Devices, Inc. Use of ta/tan for preventing copper contamination of low-k dielectric layers
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
WO2002103782A2 (en) 2001-06-14 2002-12-27 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
EP1418619A4 (en) 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
CN1605117B (zh) 2001-12-13 2010-05-12 应用材料股份有限公司 具有对氮化物肩部高度敏感性的自对准接触蚀刻
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6797652B1 (en) * 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20030194857A1 (en) * 2002-04-11 2003-10-16 Stefan Hau-Riege Method of making a semiconductor device that has copper damascene interconnects with enhanced electromigration reliability
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US20040121583A1 (en) 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
KR100507856B1 (ko) * 2002-12-30 2005-08-17 주식회사 하이닉스반도체 Mos트랜지스터 제조방법
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040251548A1 (en) * 2003-06-16 2004-12-16 United Microelectronics Corp. Method for forming barrier layer and structure
US20100072622A1 (en) * 2003-06-16 2010-03-25 United Microelectronics Corporation Method for forming Barrier Layer and the Related Damascene Structure
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR101109299B1 (ko) 2003-10-06 2012-01-31 어플라이드 머티어리얼스, 인코포레이티드 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US20050146048A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Damascene interconnect structures
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7691686B2 (en) 2004-05-21 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
US7176105B2 (en) * 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP2006019480A (ja) * 2004-07-01 2006-01-19 Nec Electronics Corp 半導体装置の製造方法
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US6992326B1 (en) * 2004-08-03 2006-01-31 Dupont Displays, Inc. Electronic device and process for forming same
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7309658B2 (en) * 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7341943B2 (en) * 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4589787B2 (ja) * 2005-04-04 2010-12-01 パナソニック株式会社 半導体装置
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7695981B2 (en) * 2005-05-13 2010-04-13 Siluria Technologies, Inc. Seed layers, cap layers, and thin films and methods of making thereof
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
DE102005024912A1 (de) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
US7470612B2 (en) * 2005-09-13 2008-12-30 Samsung Electronics Co, Ltd. Method of forming metal wiring layer of semiconductor device
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
DE102005046976B4 (de) * 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US8247824B2 (en) * 2005-12-19 2012-08-21 Matthew Stainer Electronic devices comprising electrodes that connect to conductive members within a substrate and processes for forming the electronic devices
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP2010512650A (ja) 2006-12-11 2010-04-22 アプライド マテリアルズ インコーポレイテッド 乾燥フォトレジスト除去プロセスと装置
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5103914B2 (ja) * 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP2009026989A (ja) * 2007-07-20 2009-02-05 Toshiba Corp 半導体装置及び半導体装置の製造方法
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7884475B2 (en) 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
WO2009071627A2 (de) 2007-12-04 2009-06-11 Parabel Ag Mehrschichtiges solarelement
US20090155487A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Ultraviolet uv photo processing or curing of thin films with surface treatment
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
KR101231019B1 (ko) * 2007-12-18 2013-02-07 양병춘 집적회로장치 제조방법
US8703605B2 (en) * 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
JP2009228078A (ja) * 2008-03-24 2009-10-08 Fujitsu Ltd 電解メッキ液、電解メッキ方法、および半導体装置の製造方法
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP5446126B2 (ja) * 2008-05-13 2014-03-19 富士通セミコンダクター株式会社 電解メッキ方法および半導体装置の製造方法
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7879720B2 (en) 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
DE102008049775B4 (de) 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
KR20110138142A (ko) 2009-03-17 2011-12-26 로트 운트 라우 악치엔게젤샤프트 기판 처리 장치 및 기판 처리 방법
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8134234B2 (en) * 2009-06-18 2012-03-13 Kabushiki Kaisha Toshiba Application of Mn for damage restoration after etchback
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
KR101598332B1 (ko) 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8314033B2 (en) * 2010-09-24 2012-11-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8980754B2 (en) * 2011-07-20 2015-03-17 Applied Materials, Inc. Method of removing a photoresist from a low-k dielectric film
US8940642B2 (en) * 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130213469A1 (en) * 2011-08-05 2013-08-22 Solexel, Inc. High efficiency solar cell structures and manufacturing methods
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9565766B2 (en) * 2011-10-07 2017-02-07 Intel Corporation Formation of DRAM capacitor among metal interconnect
US8659156B2 (en) * 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
KR20130060432A (ko) * 2011-11-30 2013-06-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8586486B2 (en) * 2011-12-16 2013-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8791014B2 (en) * 2012-03-16 2014-07-29 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
EP2863415B1 (en) * 2012-06-13 2016-11-16 Mitsubishi Gas Chemical Company, Inc. Liquid composition for cleaning, method for cleaning semiconductor element, and method for manufacturing semiconductor element
US8791005B2 (en) * 2012-06-18 2014-07-29 International Business Machines Corporation Sidewalls of electroplated copper interconnects
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
WO2014013956A1 (ja) * 2012-07-17 2014-01-23 三井化学株式会社 半導体装置及びその製造方法並びにリンス液
US8835305B2 (en) * 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US9059398B2 (en) * 2012-08-03 2015-06-16 Applied Materials, Inc. Methods for etching materials used in MRAM applications
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
JP5755618B2 (ja) * 2012-09-06 2015-07-29 株式会社東芝 半導体装置
US8907483B2 (en) 2012-10-10 2014-12-09 Globalfoundries Inc. Semiconductor device having a self-forming barrier layer at via bottom
CN104823267B (zh) * 2012-12-03 2017-08-04 三菱瓦斯化学株式会社 半导体元件用清洗液及使用它的清洗方法
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9245797B2 (en) * 2013-08-19 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Opening fill process and structure formed thereby
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9576892B2 (en) * 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9076846B2 (en) * 2013-11-04 2015-07-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits using surface modification to selectively inhibit etching
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9677172B2 (en) * 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US20150228585A1 (en) * 2014-02-10 2015-08-13 Globalfoundries Inc. Self-forming barrier integrated with self-aligned cap
US9966339B2 (en) * 2014-03-14 2018-05-08 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9984975B2 (en) * 2014-03-14 2018-05-29 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
US9613852B2 (en) * 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
CN105210176B (zh) * 2014-04-10 2016-09-28 三菱瓦斯化学株式会社 半导体元件的清洗用液体组合物、和半导体元件的清洗方法

Also Published As

Publication number Publication date
US20150348902A1 (en) 2015-12-03
WO2015184362A1 (en) 2015-12-03
CN106415829A (zh) 2017-02-15
US9847289B2 (en) 2017-12-19
KR20170013327A (ko) 2017-02-06
TWI660458B (zh) 2019-05-21
KR102470564B1 (ko) 2022-11-23
TW201546963A (zh) 2015-12-16

Similar Documents

Publication Publication Date Title
CN106415829B (zh) 用于改良互连性能的保护通孔盖
KR102291990B1 (ko) 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US10043709B2 (en) Methods for thermally forming a selective cobalt layer
US10784086B2 (en) Cobalt etch back
US7365005B1 (en) Method for filling of a recessed structure of a semiconductor device
US11742212B2 (en) Directional deposition in etch chamber
US20040211357A1 (en) Method of manufacturing a gap-filled structure of a semiconductor device
TW202117931A (zh) 間隙填充沉積製程
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US11024537B2 (en) Methods and apparatus for hybrid feature metallization
WO2020163200A1 (en) Methods and apparatus for filling a feature disposed in a substrate
US10014179B2 (en) Methods for forming cobalt-copper selective fill for an interconnect
WO2021041593A1 (en) Selective cobalt deposition on copper surfaces
US20190148150A1 (en) Methods for forming capping protection for an interconnection structure
CN116682782A (zh) 半导体装置及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant