TW201546963A - 用於改良互連性能之保護通孔蓋 - Google Patents

用於改良互連性能之保護通孔蓋 Download PDF

Info

Publication number
TW201546963A
TW201546963A TW104117463A TW104117463A TW201546963A TW 201546963 A TW201546963 A TW 201546963A TW 104117463 A TW104117463 A TW 104117463A TW 104117463 A TW104117463 A TW 104117463A TW 201546963 A TW201546963 A TW 201546963A
Authority
TW
Taiwan
Prior art keywords
layer
metal
semiconductor structure
thickness
conductive layer
Prior art date
Application number
TW104117463A
Other languages
English (en)
Other versions
TWI660458B (zh
Inventor
Mehul Naik
Paul F Ma
Srinivas D Nemani
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201546963A publication Critical patent/TW201546963A/zh
Application granted granted Critical
Publication of TWI660458B publication Critical patent/TWI660458B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

形成半導體結構的示例性方法可包括蝕刻通孔以貫穿半導體結構而暴露出第一電路層互連金屬。該方法可包括在該暴露的第一電路層互連金屬上形成材料層。該方法亦包括在該通孔內形成阻障層且在沿著該通孔的底部具有最少覆蓋物。該方法附加包括在該材料層上形成第二電路層互連金屬。

Description

用於改良互連性能之保護通孔蓋
本發明技術是關於半導體系統、製程及設備。更明確言之,本發明技術有關用來改良互連結構以降低電遷移效應的系統、方法及結構。
積體電路可包含超過一百萬個的微電子場效電晶體,該等電晶體形成在基板上並協同運作以在該電路內執行各種功能。可靠地生產次半微米特徵及更小特徵是半導體元件下一代超大型積體電路(「VLSI」)及極大型積體電路(「ULSI」)的關鍵技術之一。然而,由於積體電路技術的極限是朝垂直方向推動及擴展,因此VLSI及ULSI中持續縮小的互連線尺寸在處理能力方面有著諸多附加要求。可靠地形成通孔及互連線對於成功形成積體電路及持續努力提高電路密度及個別基板和晶粒的品質而言很重要。
由於特徵尺寸縮小,所以該等互連線及通孔可電性連接各式各樣的結構。然而,包括互連線及通孔在內的安全特徵可能造成整個電路結構中的線路電阻及電阻-電容延遲劇增。此外,當特徵尺寸縮小時,電遷移可能變得越來越具破壞性。
因此,需要能夠用來生產高品質元件及結構的改良系統及方法。本發明技術將可解決此等需求及其他需求。
本發明提出用於增進互連線及通孔性能的系統、結構及製程。該等系統可提供構件結構配置以允許進行多種製程而無需改變環境或使結構暴露 在周遭環境條件下。該等結構可包括可降低電遷移的積體電路結構。該等方法可限制互連線中或整個互連線上的原子移動。
形成半導體結構的示例性方法可包括蝕刻蝕刻通孔以貫穿半導 體結構,其中該蝕刻步驟暴露出第一金屬。該方法可包括在該暴露的第一金屬上形成材料層,及該方法可進一步包括在該材料層上形成第二金屬。在一實施例中,該材料層可包括過渡金屬或過渡金屬氧化物,且在一實施例中,所形成的該材料層可達到介於約0.5奈米至10奈米間的厚度。該方法可進一步包括在該材料層上形成該第二金屬之前,先在該蝕刻的通孔內形成阻障層。沿該通孔的該等側壁沈積該阻障層達第一厚度,及在該材料層上沈積該阻障層達第二厚度且該第二厚度小於該第一厚度。在所揭示的實施例中,除了該第二金屬及阻障層之外,該通孔可不包括其他材料。在實施例中,該阻障層可包括過渡金屬(例如錳),及該第一金屬與該第二金屬其中至少一者可包含銅。
在所揭示的實施例中,該第一金屬可為用於半導體結構之第一層 次的互連線,及該第二金屬可為用於半導體結構之第二層次的互連線。該蝕刻步驟可包括使該半導體結構的一部分接觸來自一電容耦合電漿的不反應性離子,及隨後使該半導體結構的該已接觸部分暴露於一電漿生成的反應性物種下。 該蝕刻步驟可作為單鑲嵌或雙鑲嵌製程的其中一部分來進行,及該半導體結構在該蝕刻步驟與形成材料層的步驟之間可保持處於真空。
本發明還揭示在積體電路結構中形成保護蓋的方法,該等方法包 括蝕刻通孔以貫穿半導體結構。該半導體結構可包括至少一第一電路層及一第二電路層,且可進行該蝕刻步驟以貫穿該第二電路層而暴露該第一電路層內的互連金屬。該等方法包括清洗該互連金屬,且該等方法亦可包括在該暴露的第一金屬上形成含鈷保護蓋。該等方法可又進一步包括沿該通孔的側壁形成含錳阻障層。在形成期間,形成在該含鈷保護蓋上的阻障層厚度比沿著該通孔之側 壁所沈積之阻障層厚度的50%要小。在所揭示的實施例中,該阻障層在該等側壁處可具有小於或約為10奈米的厚度。該等方法亦可包括直接在該阻障層上使用銅來填充該通孔。
本發明亦揭示半導體結構,且該等半導體結構可包括第一介電材 料層及第一導電層,且該第一導電層至少部分配置在該第一介電材料層中。該等結構可包括第二介電材料層及第二導電層,且該第二導電層至少部分配置在該第二介電材料層中。該等結構可亦包括第三導電層,該第三導電層配置在該第一導電層與該第二導電層之間。該第三導電層可包括導電材料,且該導電材料與該第一導電層或該第二導電層所含的材料不同。示例性的結構可包括該第二導電層具有第一部分及第二部分,該第一部分具有第一寬度及該第二部分具有第二寬度,且該第二寬度小於該第一寬度。該第二部分配置成比該第一部分更接近該第一導電層。該結構可亦包括第三介電材料層,該第三介電材料層配置在該第一介電材料層與該第二介電材料層之間。在所揭示的實施例中,該第三導電層可配置在該第一介電材料層與該第三介電材料層之間。該第三導電層可包括位在介於該第一介電材料層與該第三介電材料層間之一位置處的第一厚度,及位在介於該第一導電層與該第二導電層間之一位置處的第二厚度,且該第二厚度與該第一厚度不同。
此技術可帶來勝過習知系統與技術的諸多好處。例如,若電遷移 效應降低,元件的壽命可能增加。附加優點是改善後的系統可縮短佇列時間及減少元件氧化或腐蝕。現配合下述內容及附圖更詳細地說明此等實施例與其他實施例及該等實施例的特徵及所帶來的諸多優點。
W‧‧‧基板
100‧‧‧處理系統/處理工具
104‧‧‧工廠介面
105A‧‧‧晶圓盒裝載器
105B‧‧‧晶圓盒裝載器
105C‧‧‧晶圓盒裝載器
106A‧‧‧裝載鎖定腔室
106B‧‧‧裝載鎖定腔室
108A‧‧‧機器人
108B‧‧‧機器人
110‧‧‧移送室
113‧‧‧機器人傳送機構
113A‧‧‧基板傳送刀刃
113B‧‧‧伸縮臂
114A‧‧‧處理腔室
114B‧‧‧處理腔室
114C‧‧‧處理腔室
114D‧‧‧處理腔室
116‧‧‧檢修腔室
117‧‧‧整合式度量腔室
200‧‧‧示例性處理腔室系統
202‧‧‧基板
205‧‧‧輔助電極
207‧‧‧繼電器
208‧‧‧射頻功率源
210‧‧‧噴淋頭
215‧‧‧擋板
216‧‧‧第一饋入氣流
217‧‧‧嵌入式熱交換器蛇管
218‧‧‧分佈區域
220‧‧‧介電間隔物/介電環
223‧‧‧虛線
224‧‧‧虛線
225‧‧‧第一噴淋頭
227‧‧‧繼電器
228‧‧‧射頻源
230‧‧‧介電間隔物
240‧‧‧腔室壁
248‧‧‧高壓直流供應器
249‧‧‧網格
250‧‧‧卡盤
251‧‧‧升降器
252‧‧‧第一射頻產生器
253‧‧‧第二射頻產生器
255‧‧‧波紋管
260‧‧‧閘閥
265‧‧‧渦輪分子泵
266‧‧‧渦輪分子泵
270‧‧‧第一電漿
276‧‧‧氣體入口
278‧‧‧孔
280‧‧‧孔
281‧‧‧第二腔室區域
282‧‧‧孔
283‧‧‧孔
284‧‧‧第一腔室區域
290‧‧‧氣體分配系統
292‧‧‧第二電漿
300‧‧‧方法
310‧‧‧蝕刻步驟
320‧‧‧步驟
330‧‧‧步驟
410‧‧‧位置
510‧‧‧控制組阻障層
520‧‧‧氮化錳
600‧‧‧積體電路/結構
605‧‧‧底部介電質
610‧‧‧第一介電材料層/第一層間介電層
615‧‧‧上介電質
620‧‧‧第二介電層
625‧‧‧上介電層/蝕刻終止層
630‧‧‧選用性硬遮罩層
635‧‧‧金屬層
640‧‧‧第二導電層
643‧‧‧第一部分/上部分/溝槽
645‧‧‧第三導電層/第三導電材料
646‧‧‧第二部分/下部分
650‧‧‧側壁
653‧‧‧覆蓋物/覆蓋
700‧‧‧方法
710‧‧‧步驟
720‧‧‧步驟
730‧‧‧步驟
740‧‧‧步驟
750‧‧‧步驟
參閱本案說明書其餘部分及附圖,可進一步瞭解本案揭示技術的本質與優點。
第1圖為根據本發明技術圖示示例性處理系統的俯視平面圖。
第2圖為根據本發明技術圖示示例性處理腔室的概要剖面圖。
第3圖為根據本發明技術之實施例圖示在互連金屬上形成選擇性金屬蓋的方法。
第4圖圖示使用減小的阻障層厚度所推算出之臨界電流密度效應的圖形模型。
第5圖圖示多種阻障層材料的電遷移失效時間雙模型分佈圖。
第6圖為根據本發明技術之實施例圖示出含有選擇性金屬蓋之積體電路的局部示例性剖面結構。
第7圖為根據本發明技術之實施例圖示在互連金屬上形成選擇性金屬蓋的方法。
某些圖式為概要圖。應明白該等圖式僅做示範說明之用,且除非文中特別聲明該圖依規格比例所做,否則該等圖式未按比例繪製。
在該等附圖中,相似的構件及/或特徵可具有相同的元件符號。此外,可藉著在該元件符號後方隨附一個用來區分該等相似構件的字母來區別同類型的各個不同構件。若在本案說明書中僅使用首位元件符號,則不論該字母為何,該段描述內容皆適用於該等具有相同首位元件符號之相似構件中的任一者。
本發明技術包括用於改良互連線與通孔性能及積體電路(「IC」)元件之總電性能的系統、結構及方法。隨著半導體特徵尺寸的縮減,可能產生包括電性問題在內等諸多問題。許多IC元件使用銅或鎢作為用於元件膜層內及元件膜層之間的通孔金屬及互連線金屬。例如使用銅作為通孔金屬及互連線金屬通常包括在溝槽及通孔內使用阻障層,藉以限制銅擴散進入周圍膜層中,若 銅擴散進入周圍膜層中則可能造成短路或元件失效。此外,當元件特徵及互連線溝槽和通孔的尺寸縮小時,互連線金屬可能會因溝槽內的高深寬比而遇到未能完全填通的情形。
習知技術經常利用內襯來解決此劣化問題以改善縫隙填充情 形。然而,溝槽及通孔內含有越多的阻障層及內襯材料,能填入該體積中的銅越少。由於銅的導電性遠勝於阻障層及內襯材料,通孔或溝槽內的銅越少,則有效電阻越大,當元件諸多膜層各處的有效電阻加總在一起時,有效電阻會實質影響到總電阻-電容(「RC」)延遲。可藉著薄化阻障層及甚至是拿掉內襯來控制此等問題,然而這麼做會讓元件可能具有很差的電遷移特性,此點將於以下配合第3圖及第4圖進行討論。在另一方面,本發明技術使用蓋層並可使用無阻障層通孔底部作用(barrier-less via bottom effects)來提供背向應力以抵銷電遷移,並在通孔內提供最少量的非銅材料以使通孔及線路的電阻減至最小。因此相較於諸多習知設計而言,本發明中所描述的方法及結構提供更佳的性能及成本效益。以下將詳細說明此等益處及其他益處。
儘管其餘的揭示內容將照慣例舉出可使用本案揭示技術的具體 蝕刻製程,但可輕易明白的是,該等系統及方法可等效地應用於可在所述腔室中進行的沉積製程及清潔製程上。因此,不應認為本發明技術僅可與蝕刻製程併用。
第1圖為根據實施例圖示由沉積腔室、蝕刻腔室、烘烤腔室及固 化腔室所組成之處理系統100實施例的俯視平面圖。第1圖中所示之處理工具100可包含複數個處理腔室114A~114D、移送室110、檢修腔室116、整合式度量腔室117及一對裝載鎖定腔室106A~106B。該等處理腔室可包括與第2圖中所述者相似的結構或構件及附加處理腔室,附加的處理腔室可包括沉積腔室。
移送室110可包含機器人傳送機構113以用於在該等腔室之間傳 送基板。機器人傳送機構113可具有一對基板傳送刀刃113A,該等基板傳送刀刃113A分別連接於伸縮臂113B的遠端。該等刀刃113A可用於搬運個別基板進入或離開該等處理腔室。操作時,該傳送機構113的其中一個基板傳送刀刃(例如,刀刃113A)可從該等裝載鎖定腔室(例如腔室106A~B)的其中一個腔室取出基板W並搬運基板W以進行第一階段處理,例如在腔室114A~D中進行如以下所述般的蝕刻製程。若該等腔室已被佔用,機器人可等候至處理完成,且隨後使用刀刃113A取出該等腔室中的已處理基板並使用第二刀刃(圖中未示出)插入新的基板。一旦基板處理完成後,隨後可移送該基板前往進行第二階段處理,第二階段處理可包括沈積步驟、處理步驟,等等。對於每次移送,該機器人傳送機構113通常可用一個刀刃承載基板並使一個刀刃保持空閑以供進行基板交換。傳送機構113可在各個腔室處等候直到完成交換動作。
一旦在處理腔室內完成處理,傳送機構113可從最後的處理腔室 中移出基板W並將基板W移送至裝載鎖定腔室106A~B內的匣盒中。可將該基板從該等裝載鎖定腔室106A~B移送至工廠介面104。工廠介面104通常可運作以在該等裝載鎖定腔室106A~B與處於大氣壓潔淨環境中的晶圓盒裝載器105A~D之間移送基板。通常透過空氣過濾處理(例如,HEPA過濾)來提供工廠介面104中的潔淨環境。工廠介面104亦可包括基板定向器/對準器(圖中未示出),在進行處理之前可使用基板定向器/對準器適當地對準基板。工廠介面104中可配置至少一個基板機器人(例如機器人108A~B)以用於在工廠介面104內的各種不同位置/場所之間移送基板及將基板送往與工產介面104連通的其他位置。機器人108A~B可配置成可在外殼104內沿著軌道系統從工廠介面104的第一端行進至工廠介面104的第二端。
處理系統100可進一步包括整合式度量腔室117以提供控制訊號 而可為該等處理腔室內正在進行任何製程提供適應性控制。整合式度量腔室117可包括各式各樣的度量裝置以測量各種膜性質(例如厚度、粗糙度、組成),且該等度量裝置可進一步能夠以自動化方式描述處於真空下的光柵參數特性(例如,臨界尺寸、側壁角度及特徵高度)。
現參閱第2圖,第2圖根據本發明技術示出示例性處理腔室系統 200的剖面圖。腔室200可例如用於前述系統100之該等處理腔室部分114的其中一者或多者。通常,蝕刻腔室200可包括第一電容耦合電漿源以進行離子銑削(ion-milling)步驟,且蝕刻腔室200可包括第二電容耦合電漿源以進行蝕刻步驟及進行選用性的沈積步驟。腔室200可包括接地的腔室壁240,該等腔室壁240圍繞著卡盤250。在實施例中,卡盤250可為靜電卡盤以在處理期間將基板202固定於卡盤250的頂表面,但也可使用已知的其他固定機構。卡盤250可包括嵌入式熱交換器蛇管217。在示例性實施例中,熱交換器蛇管217包含一或更多個熱交換流體通道,熱交換流體(例如,乙二醇/水的混合物)可流經該等熱交換流體通道以控制卡盤250的溫度且最終控制基板202的溫度。
卡盤250可包括網格249,網格249連接至高壓直流(DC)供應器 248,使得網格249可攜帶DC偏壓電位以進行靜電固定該基板202。卡盤250可與第一射頻(RF)電源連接,且在一此種實施例中,網格249可與第一RF電源連接,以使DC電壓偏移及RF電壓電位耦合於卡盤250之頂表面上的整個薄介電層。在示例性實施例中,該第一RF電源可包括第一RF產生器252及第二RF產生器253。 RF產生器252及RF產生器253可以任何工業用頻率運作,然而在示例性實施例中,RF產生器252可以60MHz運作以提供有利的方向性。實施例中亦提供第二RF產生器253,且示例性的頻率可為2MHz。
由於會提供RF電力給卡盤250,可藉由第一噴淋頭225提供RF返 回路徑。第一噴淋頭225可配置在該卡盤上方以將第一饋入氣體分配至由第一噴淋頭225與腔室壁240所界定而成第一腔室區域284中。如此,卡盤250與第一噴淋頭225形成第一對RF耦合電極而以電容耦合方式供給能量給第一腔室區域284中由第一饋入氣體所形成的第一電漿270。經RF供電的卡盤進行電容耦合所產生DC電漿偏壓或RF偏壓可使第一電漿270的離子流(若第一饋入氣體為Ar時,則產生Ar離子)流向基板202以提供離子銑削電漿。第一噴淋頭225可接地或可與RF電源228連接,該RF電源228具有一或更多個產生器且該一或更多個產生器可使用與卡盤250不同的頻率進行運作,例如以13.56MHz或60MHz的頻率運作。在所示實施例中,第一噴淋頭225可透過繼電器227來選擇接地或連接至RF電源228,且在蝕刻製程期間可例如使用控制器(圖中未示出)自動控制該繼電器227。在所揭示的實施例中,腔室200可能不包括噴淋頭225或介電間隔物220,且腔室200可能改為僅包括擋板215及噴淋頭210。
如圖中進一步所示,蝕刻腔室200可包括堆疊泵組(pump stack), 該堆疊泵組能在低製程壓力下提供高通量。在實施例中,至少一個渦輪分子泵265/渦輪分子泵266可經由一或更多個閘閥260而與第一腔室區域284連接,且渦輪分子泵265/渦輪分子泵266可配置在卡盤250下方且位在與第一噴流頭225相反之處。渦輪分子泵265及渦輪分子泵266可為任何市售具有適當通量的泵,尤其是該等渦輪分子泵265及渦輪分子泵266可適當調整尺寸而可在期望的第一饋入氣體流動速率(例如,若第一饋入氣體為氬氣,則以50sccm至500sccm的Ar)下使製程壓力維持低於或約為10毫托耳(mTorr)或更低或是維持約5毫托耳。在所示實施例中,卡盤250可形成基座的一部分,該基座置中地放置在兩渦輪幫浦265與渦輪幫浦266之間,然而在替代的配置方案中,卡盤250可位在從腔室壁240懸伸 而出的基座上並具有單個渦輪分子泵,且該渦輪分子泵的中心對準該卡盤250的中心。
可在第一噴淋頭225的上方配置第二噴淋頭210。在一實施例中, 於處理期間,第一饋入氣體源(例如,從氣體分配系統290輸送出的氬氣)可連接氣體入口276,且該第一饋入氣體流經延伸貫穿第二噴淋頭210的複數個孔280而進入第二腔室區域281並通過延伸貫穿第一噴淋頭225的複數個孔282而進入第一腔室區域284。附加的氣流分配器或擋板215具有孔278而可進一步使第一饋入氣流216通過分配區域218而分佈於蝕刻腔室200的整個直徑。在替代實施例中,第一饋入氣體可如虛線223所指示般經由孔283直接流入第一腔室區域284中,該等孔283是與第二腔室區域281隔離的。
腔室200可由圖中所示的狀態額外重新配置成可用來進行蝕刻步 驟。輔助電極205可配置在第一噴淋頭225上方,且在輔助電極205與第一噴淋頭225之間具有第二腔室區域281。輔助電極205可進一步形成蝕刻腔室200的蓋或頂板。可利用介電環220使輔助電極205與第一噴淋頭225電性隔離,且輔助電極205與第一噴淋頭225形成第二對RF耦合電極以用於在第二腔室區域281內進行電容性放電以使第二饋入氣體形成第二電漿292。較佳者,第二電漿292可能不在卡盤250上提供明顯的RF偏壓電位。該第二對RF耦合電極之中的至少一個電極可連接RF電源以為蝕刻電漿提供能量。輔助電極205可與第二噴淋頭210電性連接。在示例性實施例中,第一噴淋頭225可與接地板連接或為浮接狀態(floating),且第一噴淋頭225可經由繼電器227接地,以允許在離子銑削模式操作期間亦可利用RF電源228供電至第一噴淋頭225。若第一噴淋頭225接地,RF電源208(具有一或更多個可以13.56MHz或60MHz運作的RF產生器)可例如經由繼電器207與輔助電極205連接,繼電器207允許輔助電極205在其他操作模式期間 (例如在離子銑削步驟期間)也可接地,但若供電給第一噴淋頭225時,亦可使輔助電極205處於浮接狀態。
可由氣體分配系統290供應第二饋入氣體源(例如,三氟化氮)及 氫源(例如,氨),且第二饋入氣體源及氫源可例如經由虛線224而與氣體入口276連接。在此模式中,第二饋入氣體可流經第二噴淋頭210,並可在第二腔室區域281中活化該第二饋入氣體。反應性物種隨後可進入第一腔室區域284以與基板202反應。如進一步所示,對於第一噴淋頭225是多通道噴淋頭的實施例而言,可提供一或更多種饋入氣體以與第二電漿292所生成的反應性物種反應。在一此實施例中,水源可與該複數個孔283連接。
在實施例中,卡盤250可在與第一噴淋頭225成垂直的方向上沿著 距離H2移動。卡盤250可位在被波紋管255包圍的可驅動機構(或諸如此類構件)上而允許移動卡盤250以接近或遠離第一噴淋頭225,藉以作為控制卡盤250與第一噴淋頭225間之熱傳作用的手段,第一噴淋頭225可能處於80℃~150℃或更高的高溫。如此,可藉著相對於第一噴淋頭225在第一預定位置與第二預定位置之間移動卡盤250來進行蝕刻製程。或者,卡盤250可包括升降器251以用於將基板202從卡盤250的頂表面上舉起一段距離H1,藉以在蝕刻製程期間控制第一噴淋頭225的加熱作用。在其他實施例中,若於固定溫度(例如,約90℃~110℃)進行該蝕刻製程,則可免用卡盤移動機構。在蝕刻製程期間,系統控制器(圖中未示出)可藉著自動交替供電給第一對RF耦合電極及第二對RF耦合電極而交替地提供能量給第一電漿270及第二電漿292。
腔室200亦可重新配置以進行沈積步驟。可利用上述用於產生第 二電漿292之方法中的任一種方法進行射頻放電以在第二腔室區域281中產生電漿292。若在沈積期間供電給第一噴淋頭225以產生電漿292,則可利用介電間隔物230來隔離該第一噴淋頭225與接地的腔室壁240,使得第一噴淋頭225相對於 腔室壁240而言為電性浮動。在示例性實施例中,可從氣體分配系統290輸送氧化劑饋入氣體源(例如,氧分子),且該氧化劑饋入氣體源連接至氣體入口276。 在第一噴淋頭225是多通道噴淋頭的實施例中,可從氣體分配系統290輸送任何含金屬前驅物(例如,含矽前驅物或其他含金屬前驅物),並引導該含金屬前驅物進入第一腔室區域284中以與來自電漿292並通過第一噴淋頭225的反應性物種進行反應。或者,該前驅物亦可隨著該氧化劑一起流過氣體入口276。
第3圖根據本發明技術示出形成具有改善電特性之半導體結構的 方法300。方法300至少部分可例如在腔室200中進行,或可在一或更多種配置用來進行蝕刻步驟及/或沈積步驟的其他製程腔室中進行方法300。在所揭示的實施例中,單一個製程工具(例如,前述的製程工具100)可包含一或更多個腔室。藉由維持單一工具內的該一或更多個腔室,可使半導體元件保持處在受調節的環境中。例如,該製程工具可保持真空環境,且藉著使該元件在處理期間始終保持處在該工具環境內,可使該元件不暴露在周遭空氣中。由於銅及其他金屬在周遭環境(包括潮濕環境)中可能會氧化或腐蝕,因此藉著在單一工具環境內進行所有步驟可增進元件品質。因此,在所揭示的實施例中,可在蝕刻步驟與形成材料層的步驟之間使該半導體結構保持處於真空下。
方法300可始於在半導體結構上進行蝕刻步驟310。在所揭示的實 施例中,該半導體結構可包括一或更多個IC層(例如至少兩個IC層),且該半導體結構(例如在底部電路結構中)可包括至少一金屬層。蝕刻步驟310可形成貫穿一或更多個材料層的通孔以暴露出位於下層(lower layer)中的第一金屬(例如,金屬層)。方法300亦可包括於步驟320中在暴露的第一金屬上形成材料層。在所示實施例中,該材料層可包含與第一金屬不相同的材料,且該材料層可包含導電材料及/或含金屬材料。方法300可進一步包括於步驟330中在該材料層上形成第二金屬,且在所示實施例中,該第二金屬可與第一金屬相同或不同。例如,在實 施例中,第一金屬及第二金屬可皆為銅或鎢,且在所揭示的實施例中,第一金屬及第二金屬可為任何可用於電性連接(例如通孔或互連線)的其他填充材料。在一實施例中,第一金屬及第二金屬兩者皆為銅,且該第一金屬形成用於半導體結構之第一層次或IC層的互連結構,及該第二金屬形成用於半導體結構之第二層次或IC層的互連結構。
在所揭示的實施例中,步驟320中所形成的材料層可包括導電材 料或金屬。例如,該導電材料可包括過渡金屬或過度金屬氧化物,例如可包括鈷、錳、鎢,等等。此外,可依據所使用的互連金屬或填充金屬來選擇該導電材料。例如,若使用鈷作為填充金屬,則可使用不同的金屬作為導電材料,例如使用鎢或銅或某些其他金屬,藉以避免該互連金屬與該導電材料或蓋材料皆使用相同金屬。在所揭示的實施例中,該導電材料可包括鈷、釕、鉭,等等,及各種其他金屬及過渡金屬。在一實施例中,該導電材料包括鈷,可在暴露的第一金屬(例如在下IC層中的含銅互連金屬)上形成一層鈷。可利用各種沈積技術中的任何技術,包括利用數種已知方法(包括氣相沈積法、熱沈積法及/或電漿沈積法)中的任何方法進行循環沈積或直接沈積,來沈積該導電材料。在所揭示的實施例中,可選擇該材料的特定前驅物以在暴露的互連金屬上沈積或形成該材料層,且沿著溝槽或通孔的側壁不會形成任何該導電材料或形成最少的該導電材料。
在某些實施例中,可藉著在原位點燃氫氣、氨或某些其他還原性 前驅物或其組合物所形成的電漿或使用來自遠端處理腔室的電漿來形成該等層或可在形成該等層之後使該等層暴露於電漿中。可藉著使惰性氣體所攜帶的金屬來源氣體(例如鈷)受熱分解來沈積該導電材料。還原性氣體可隨著該金屬來源氣體一同流入該處理腔室中,或該還原性氣體可與金屬來源氣體以交替脈衝方式流入該處理腔室。可加熱該基板達到介於約50℃至約600℃範圍間的溫度,例 如達到介於約100℃至約500℃或例如約200℃至約400℃間的溫度。或者,可在原子層沈積(ALD)製程或化學氣相沈積(CVD)製程(包括各種電漿增強CVD及/或ALD製程)中使該基板暴露於金屬來源氣體(例如鈷來源氣體)來沈積該材料層或該等材料層。
在所揭示的實施例中,該金屬化合物可包括一或更多種鈷物質, 且可利用CVD或ALD製程使用合適的鈷前驅物來形成該材料層內所含的該等鈷物質(例如,金屬鈷或鈷合金),合適的鈷前驅物包括羰基鈷錯合物、脒基鈷化合物、二茂鈷化合物、二烯基鈷錯合物、亞硝基鈷錯合物、上述前驅物之衍生物、上述前驅物之錯合物、上述前驅物之電漿或上述前驅物之組合物。
在某些實施例中,在氣相沈積製程期間可使用羰基鈷化合物或羰 基鈷錯合物作為鈷前驅物以用於形成鈷材料。羰基鈷化合物或羰基鈷錯合物具有化學通式(CO)xCoyLz,其中X可為1、2、3、4、5、6、7、8、9、10、11或12,Y可為1、2、3、4或5,及Z可為1、2、3、4、5、6、7或8。L基團可為缺乏、一個配位基或多個配位基,且該多個配位基可為相同配位基或不同配位基,且L基團可包括環戊二烯基、烷基環戊二烯基(例如,甲基環戊二烯基或五甲基環戊二烯基)、戊二烯基、烷基戊二烯基、環丁二烯基、丁二烯基、乙烯基(ethylene)、烯丙基(或丙烯基)、烯烴、二烯烴、炔烴、乙炔、丁基乙炔、亞硝基、氨、上述化合物之衍生物、上述化合物之錯合物、上述化合物之電漿或上述化合物之組合物。
在另一實施例中,在氣相沈積製程期間可使用脒基鈷或醯胺基鈷 錯合物作為鈷前驅物以用於形成鈷材料。醯胺基鈷錯合物具有化學通式(RR'N)xCo,其中X可為1、2或3,且R及R'各自為氫、甲基、乙基、丙基、丁基、烷基、矽烷基、烷基矽烷基、上述基團之衍生物或組合物。一些示例性的醯胺基鈷錯合物包括雙(二(丁基二甲基矽烷基)醯胺基)鈷 (bis(di(butyldimethylsilyl)amido)cobalt)、雙(二(乙基二甲基矽烷基)醯胺基)鈷(bis(di(ethyldimethylsilyl)amido)cobalt)、雙(二(丙基二甲基矽烷基)醯胺基)鈷(bis(di(propyldimethylsilyl)amido)cobalt)、雙(二(三甲基矽烷基)醯胺基)鈷(bis(di(trimethylsilyl)amido)cobalt)、三(二(三甲基矽烷基)醯胺基)鈷(tris(di(trimethylsilyl)amido)cobalt)、上述化合物之衍生物、上述化合物之錯合物、上述化合物之電漿或上述化合物之組合物。
示例性的鈷前驅物包括雙(羰基)甲基環戊二烯鈷 (methylcyclopentadienyl cobalt bis(carbonyl))、雙(羰基)乙基環戊二烯鈷(ethylcyclopentadienyl cobalt bis(carbonyl))、雙(羰基)五甲基環戊二烯鈷(pentamethylcyclopentadienyl cobalt bis(carbonyl))、八(羰基)二鈷(dicobalt octa(carbonyl))、三(羰基)亞硝基鈷(nitrosyl cobalt tris(carbonyl))、雙(環戊二烯)鈷(bis(cyclopentadienyl)cobalt)、(環己二烯基)(環戊二烯基)鈷((cyclopentadienyl)cobalt(cyclohexadienyl))、(1,3-己二烯基)環戊二烯鈷(cyclopentadienyl cobalt(1,3-hexadienyl))、(環丁二烯基)(環戊二烯基)鈷((cyclobutadienyl)cobalt(cyclopentadienyl))、雙(甲基環戊二烯)鈷(bis(methylcyclopentadienyl)cobalt)、(5-甲基環戊二烯基)(環戊二烯基)鈷((cyclopentadienyl)cobalt(5-methylcyclopentadienyl))、雙(乙烯)(五甲基環戊二烯)鈷(bis(ethylene)cobalt(pentamethylcyclopentadienyl))、碘化四羰基鈷(cobalt tetracarbonyl iodide)、四羰基三氯矽烷鈷(cobalt tetracarbonyl trichlorosilane)、氯化羰基三(三甲基膦)鈷(carbonyl chloride tris(trimethylphosphine)cobalt)、三羰基-氫三丁基膦鈷(cobalt tricarbonyl-hydrotributylphosphine)、乙炔六羰基二鈷(acetylene dicobalt hexacarbonyl)、乙炔五羰基三乙基膦二鈷(acetylene dicobalt pentacarbonyl triethylphosphine)、上述化合物之衍生物、上述化合物之錯合物、上述化合物之電漿或上述化合物之組合物。
於某些實例中,在如本文中所述的氣相沈積製程期間可使用另類 的試劑(包括還原劑)與鈷前驅物並用以用於形成鈷材料。此等另類試劑可包括氫(例如,H2或原子氫)、氮(N2或原子氮)、氨(NH3)、聯氨(N2H4)、氫與氨的混合物、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、磷化氫(PH3)、上述試劑之衍生物、上述試劑之電漿或上述試劑之組合物。
可沈積該含鈷材料至具有範圍介於約2Å至約100Å(例如約10Å至約40Å)間的厚度。在其他實施例中,可在表面氧化製程中使至少一部分的含鈷材料氧化以形成氧化鈷層。在一實施例中,由該含鈷層的上部分形成氧化鈷。在所揭示的實施例中,可使該含鈷材料完全氧化或實質氧化且從而消耗該含鈷材料以形成氧化鈷層。
在所揭示的實施例中,蝕刻步驟310可包括形成溝槽及通孔兩者。例如,蝕刻步驟310可包括形成較寬的溝槽及較窄的通孔且該通孔延伸至下方金屬層。亦可進行該蝕刻步驟以貫穿一或更多個介電材料層或蝕刻終止層,例如在所揭示的實施例中,可連續或以不連續的時間間隔來進行該蝕刻步驟。例如,可在能夠進行離子銑削步驟且接著進行蝕刻步驟的腔室(例如,上述腔室200)中進行蝕刻步驟310。此外,可使用多個蝕刻腔室。例如,利用遮罩層進行蝕刻步驟以打通溝槽和通孔,打通溝槽和通孔的動作可停止在介於上IC層與下IC層之間的蝕刻終止層處。隨後可進行上述的離子銑削及蝕刻步驟以打通該蝕刻終止層而暴露出下方金屬。步驟310可包括使該半導體結構的一部分與來自於如上述電容耦合電漿的非反應性離子接觸,且隨後使該半導體結構的已接觸部分暴露於電漿生成的反應性物種下。依此方式,可針對欲進行蝕刻的各層來選擇性地進行該蝕刻製程310,並可在損及下方膜層之前停止蝕刻,如此可允許以不同的順序來沈積該材料層。
在所揭示的實施例中,方法300的製程可作為鑲嵌製程(包括單鑲 嵌或雙鑲嵌製程)的一部分。在形成該下方IC層之後可形成選擇性金屬蓋。在形成後續或上方的層之後,可使溝槽及通孔的蝕刻延伸至該下方層的互連金屬。 由於製程不完美,此蝕刻作用可能蝕穿該形成在第一層次之互連金屬上方的選擇性金屬蓋部分。後面將會解釋,此種情況可能造成電遷移問題。然而,使用所述的離子銑削及蝕刻製程,可能要使用特殊化學劑來調整該蝕刻作用,以求一旦觸及該鈷層時便終止蝕刻而不會造成鈷層損傷。在所揭示的實施例中,該鈷材料可能發生反應而形成表層副產物,在蝕刻製程中將不會蝕刻該表層副產物。當蝕刻步驟完成時,可移動晶圓而例如使該晶圓靠近加熱元件,造成該等副產物昇華而暴露出下方的鈷。可在所形成的選擇性薄金屬蓋上沈積附加的含鈷材料,或者,若該層在蝕刻製程310期間受到損傷,可對該層進行修復。
沈積該材料層之後,方法300亦可包括在該材料層上形成第二金 屬之前,先在所蝕刻的通孔及/或溝槽內形成阻障層。該阻障層可包括多種材料,該等材料包括金屬、非金屬、過渡金屬或主族金屬(poor metal)材料,非排他性的列舉實例包括錳、鉭、鎳,等等。該阻障層材料可包括過渡金屬及含過渡金屬的材料,例如其氮化物、氧化物、碳化物、硼化物等等,舉例而言,例如氮化錳。該材料可包括各種合金或其他材料(例如陶瓷或類陶瓷材料)或任何適用於降低或防止銅、鎢或其他互連材料擴散進入周遭材料中的其他材料。雖然所揭示的實施例可包括在溝槽中形成內襯,但所揭示的實施例在溝槽及/或通孔中也可能除了該第二金屬(例如,銅)以外不含其他材料。如上述般,互連金屬佔據的體積越少,則通過該等層的電阻越大,且該元件的延遲及整個結構的功率損耗越大。因此,本發明技術可使溝槽內被通孔導體所佔據的體積最大化。
可以多種方式,包括物理沈積製程及/或CVD或ALD製程以及無 電式(E-less)形成製程或其他形成製程,來形成該阻障層材料。在所揭示的實施 例中,該阻障層材料可包括錳,舉例而言,例如用CVD所沈積的氮化錳或矽酸錳。使用此種製程可進行無底式通孔填充,無底式通孔填充具有完全或實質完全的側壁覆蓋,但在通孔底部處具有最少或減少的覆蓋物。例如,可沿著通孔的側壁沈積阻障層達到第一厚度,並在所形成的該材料層上沈積阻障層達到第二厚度,且該第二厚度小於該第一厚度。這麼做有助於減少該空間內附加材料而可進一步增大填充金屬(例如填充於通孔內的銅)。然而,本案發明人亦觀察到一些可能是由無底式通孔現象所造成的作用,這些作用可能對電遷移產生影響。
由於較少的覆蓋物可提供較低電阻,因此無底式通孔方案可能有 好處。然而,下層次之互連金屬與上層次之互連金屬之間的覆蓋物減少可能導致浮現出電遷移的問題。換言之,電遷移問題集中在電子流(electron flux)及填充金屬原子(例如,銅原子)的移動這兩者上。當上層次的銅與下層次的銅之間(或任何用來形成上方與下方互連金屬及通孔金屬的兩個相似金屬之間)沒有接觸或少許接觸,電遷移通量可能使引發金屬原子移動的情況增加。這可能造成在互連結構中形成孔洞而可能加速元件失效。習知技術建議使用短的互連線(例如長度小於50微米的互連線),短互連線可由背向應力停止(back stress stop)來提供足夠的背向應力來抵銷任何能實際移動銅原子的電子流。然而,如第4圖所示,此做法是不夠的。
第4圖圖示使用減小的阻障層厚度所推算出臨界電流密度效應的 模型。然而,測試顯示當阻障層寬度縮小(scaled)時,該模型失效。如圖中在阻障層為10奈米厚的位置410處所示般,臨界電流密度實質降低代表當寬度縮小時,短的互連線長度仍舊無法克服電遷移及縮短元件壽命的問題,因此該模型失效。反觀長的互連線(例如,超過200微米)則可能發生不同現象。第5圖示出控制組阻障層510及無底式通孔形成法所形成之氮化錳520的電遷移失效試驗測量 值。控制組阻障層510顯示出較低的失效時間,然而無底式通孔阻障層520所測出的失效時間增進超過7倍,顯示無底式通孔阻障層是一項大幅改善的設計。
然而,進一步測試顯示這是由於電遷移造成移動所衍生出的錯誤 訊號。該等提供看似較長之電遷移失效時間的結構實際上其下方結構正在劣化。通常,電遷移作用會受電子流的方向影響而造成在該上表面中形成孔洞。 然而,當使用無底式通孔阻障層且該上層互連金屬與下層互連金屬接觸時,該電子流確實會造成將銅從下層的IC層中拉出,而在該下層中形成孔洞並造成結構斷裂。雖然該元件在失效測試過程中呈現持續運作狀態,但這持續運作的狀態事實上是由於銅原子從下層移動到上層所造成,代表著元件失效的情況實際上可能更早發生。
令人驚訝的是,雖然本案發明人已確定藉由在下層互連金屬上使 用蓋層且同時亦合併使用無底部的通孔阻障層時,該蓋層可提供用來與電子流達成平衡的背向應力以防止銅原子移動,然而藉著減少阻障層的厚度及用於阻障層的材料量可額外減少通孔及線路的電阻。隨後將銅填入該通孔及溝槽的較大體積中而有助於減少通孔電阻及整個元件的總RC延遲。應瞭解,常規上是以銅作為填充金屬,但也可使用任何已知的填充金屬或導電金屬,包括鎢、鈷,等等。
第6圖為根據本發明技術之實施例圖示包含選擇性金屬蓋之積體 電路600的局部示例剖面結構。可使用本案文中其他處所述方法中之任何方法來形成結構600,並可在本文中所述的任何腔室或製程工具中形成該結構600,例如可使用諸多用來進行微影、沈積及蝕刻的其他已知製程及腔室來形成結構600。該圖圖示根據本案揭示技術部分製造而成雙鑲嵌互連結構。然而容易理解的是,本發明技術可運用在包含其他雙鑲嵌製程或單鑲嵌製程的更簡單或更複 雜結構上。亦明白,IC元件經常包含多個雙鑲嵌結構,而本發明技術可用於此種結構上,因此本發明技術不應侷限於此圖。
積體電路(IC)600的結構包含兩層結構及數個可能包含的示例性 膜層。亦可包含更多或更少個膜層,包括更多或更少的介電層、特徵、元件、蝕刻終止層,等等。圖中所示的結構600包含下層,在所示實施例中,該下層包含底部介電質605、第一介電材料層或第一層間介電層610及上介電質615,該上介電質615可為蝕刻終止層。該等介電層可包括各種低介電常數(low-k)介電質中的任何介電質,包括矽型介電質(包括矽的氮化物、氧化物、碳化物,等等)。下層亦包括金屬層635,金屬層635可為第一導電層且該第一導電層至少部分配置在第一介電層內,且該第一導電層可例如是銅互連金屬。在所揭示的實施例中,該下層亦可包括選擇性蓋或第三導電層,可在對金屬635及介電層610進行研磨之後,在介電層610與介電層615之間形成該選擇性蓋或第三導電層。
針對上半部結構,該元件可例如包括第二介電層620和上介電層或蝕刻終止層625及選用性的硬遮罩層630。第二介電層620可覆蓋於介電層615上,該介電層615可視為是配置在第一介電層與第二介電層之間的第三介電層。第二導電層640可包括上方的互連線及通孔材料,且第二導電層640可至少部分配置在該第二介電層620中。導電層640可包括第一部分或上部分643及第二部分或下部分646,該第一部分或上部分643具有第一寬度,該第二部分或下部分646具有第二寬度且該第二寬度小於該第一寬度。如圖所示,第二部分646可配置成比該第一部分643更接近該第一導電層。在所揭示的實施例中,溝槽643與通孔646可分開蝕刻,且可在複數個蝕刻步驟中形成該結構。
第三導電層645可配置在第一導電層635與第二導電層640之間。第三導電層645可包含導電材料且該導電材料與第一導電層或第二導電層中所含的材料不同。結構600中可使用先前所討論之材料中的任何材料,例如,在一 實施例中,第一導電層及第二導電層可包含銅,及第三導電層645可包含鈷。結構600亦可包括阻障層且該阻障層至少部分配置在溝槽及通孔的側壁650上。該阻障層亦可包括或不包括位在第三導電材料645上的覆蓋物(coverage)653。在實施例中,該阻障層可具有小於或約為20奈米的側壁厚度,且該阻障層可小於或約為15奈米、10奈米、9奈米、8奈米、7奈米、6奈米、5奈米、4奈米、3奈米、2奈米、1奈米,等等。該阻障層可包括一或更多種材料,例如該阻障層可包含一材料及該材料的氮化物或包含兩種不同材料。在所揭示的實施例中,該阻障層在區域653中可包含較少的覆蓋物,且可包含一阻障層厚度,該阻障層厚度可小於、等於或約為該側壁650之覆蓋物的90%,且可小於、等於或約為80%、70%、60%、50%、40%、30%、20%、10%、5%、1%或包含在該等範圍內的任何其他數值或較小範圍。若在第一介電質610與第三介電質615之間配置了選擇性蓋,該蓋的材料可與第三導電材料相同。此外,該蓋在第一介電質610與第三介電質615之間可具有第一厚度。第三導電材料可具有第二厚度,且該第二厚度與該蓋的第一厚度不同。在所揭示的實施例中,該第二厚度可大於或小於該第一厚度。
回到第7圖,第7圖是根據本案揭示技術圖示在互連金屬上形成蓋 的方法700。方法700可包括前述方法步驟中的部分步驟或所有步驟,且可例如在腔室200中或在多種其他腔室中進行方法700。可使用部分的方法700或使用經某些修改形式的方法700來製造結構600或其他結構。方法700包括蝕刻通孔710而使通孔710貫穿半導體結構。在所揭示的實施例中,該結構可至少包括第一電路層及在該第一電路層上的第二電路層。可進行該蝕刻步驟710以貫穿該第二電路層而暴露出位在第一電路中的互連金屬。在步驟720,可清洗下方的互連金屬。可進行諸多清洗製程中的任何清洗製程,包括濺射製程、可包含原位氫電漿的反應性預清洗製程、利用異地氫電漿進行的活性預清洗製程、UV或任何可 能包含由各種前驅物所形成之一或多種電漿物種以用於清洗下方互連金屬表面的其他清洗方法。
方法700亦可包括在步驟730中,於暴露的第一金屬上形成含鈷保 護蓋。可使用前述方式中的任一種方式來形成該含鈷蓋。方法700亦可包括在步驟740中沿著該通孔的側壁形成含錳阻障層。該阻障層可沈積在該含鈷保護蓋上,以使沈積在該含鈷保護蓋上的阻障層厚度比沿著該通孔側壁所沈積之阻障層厚度的50%要小。在實施例中,該阻障層在該等側壁處可具有小於10奈米或約10奈米的厚度,且該阻障層在該保護蓋上可具有不連續或最少的覆蓋。方法700亦可包括在步驟750中直接在該阻障層上使用銅來填充該通孔。
在前述說明內容中舉出諸多細節以供解說之用,以幫助瞭解本發 明的各種實施例。然而所屬技術領域中熟悉該項技藝者明白可在不使用此等細節內容中的部分細節或是使用附加細節的情況下實施某些實施例。
雖已揭示數個實施例,但所屬技術領域中熟悉該項技藝者將明白 在不偏離該等實施例的精神下,可做出各種修飾變化、替代配置方案及使用等效物。此外,未對諸多眾所皆知的製程及元件進行描述,以避免不必要地模糊本發明技術。因此,以上所述內容不應用來限制本發明技術的範圍。
當提供一數值範圍時,應瞭解到這也具體揭示了介於該範圍上下 限值之間的每個居間數值(至該下限值之單位的最小分數,除非文中另有明確指示)。本發明涵蓋介於所述範圍中任一陳述值(或未陳述之居間值)與該所述範圍中任一其他陳述值(或其他未陳述之居間值)之間的任何較窄範圍。該範圍中可各自包括或排除該等較小範圍的上限值及下限值,且本發明亦涵蓋每一個包含其中一限值、不含限值或兩限值皆具的較小範圍,端取決於所述範圍中是否有任何明確排出的限值而定。若所述範圍包含該等限值之其中一者或兩者時,本發明也包括排除了其中一個或兩個所含限值的範圍。
當用於本文中及後附請求項中時,除非為中另有明確指示,否則 單數用語「一」、「一個」及「該」包括複數之意。因此,例如當提到「一介電質」時可包括複數個此種介電質,及當提到「該層」時包括提及一或更多層及所屬技術領域中熟悉該項技藝者知悉的等效物,並依此類推。
又,當本案說明書及後附請求項中使用「包括」、「包含」、「含 有」、「含」、「具有」及「有」等用字時,該等用字是意欲指出所述特徵、整數、構件或步驟的存在,但該等用字並不排除可能存在有或附加一或更多個其他特徵、整數、構件、步驟、動作或群組。
W‧‧‧基板
100‧‧‧處理系統
104‧‧‧工廠介面
105A‧‧‧晶圓盒裝載器
105B‧‧‧晶圓盒裝載器
105C‧‧‧晶圓盒裝載器
106A‧‧‧裝載鎖定腔室
106B‧‧‧裝載鎖定腔室
108A‧‧‧機器人
108B‧‧‧機器人
110‧‧‧移送室
113‧‧‧機器人傳送機構
113A‧‧‧基板傳送刀刃
113B‧‧‧延伸臂
114A‧‧‧處理腔室
114B‧‧‧處理腔室
114C‧‧‧處理腔室
114D‧‧‧處理腔室
116‧‧‧檢修腔室
117‧‧‧整合式度量腔室

Claims (18)

  1. 一種形成一半導體結構的方法,該方法包括以下步驟:蝕刻一通孔以貫穿一半導體結構,其中該蝕刻步驟暴露出一第一金屬;在該暴露的第一金屬上形成一材料層;及在該材料層上形成一第二金屬。
  2. 如請求項1所述之方法,其中該材料層包括一過渡金屬或一過渡金屬氧化物。
  3. 如請求項1所述之方法,其中所形成的該材料層達到介於約0.5奈米至10奈米間的一厚度。
  4. 如請求項1所述之方法,進一步包括以下步驟:在該材料層上形成該第二金屬之前,先在該蝕刻的通孔內形成一阻障層。
  5. 如請求項4所述之方法,其中沿該通孔的該等側壁沈積該阻障層達一第一厚度,及在該材料層上沈積該阻障層達一第二厚度且該第二厚度小於該第一厚度,及其中除了該第二金屬及該等阻障層材料之外,該通孔不包括其他材料。
  6. 如請求項5所述之方法,其中該阻障層包含一過渡金屬。
  7. 如請求項6所述之方法,其中該阻障層包含錳。
  8. 如請求項1所述之方法,其中該第一金屬及該第二金屬之其中至少一者包含銅。
  9. 如請求項1所述之方法,其中該第一金屬包括一互連線以用於一半導體結構的一第一層次,及該第二金屬包括一互連線以用於一半導體結構的一第二層次。
  10. 如請求項1所述之方法,其中該蝕刻步驟的至少一部分包括以下步驟:使該半導體結構的一部分接觸來自一電容耦合電漿的不反應性離子;及使該半導體結構的該已接觸部分暴露於一電漿生成的反應性物種下。
  11. 如請求項1所述之方法,其中所進行的該蝕刻步驟是作為一單鑲嵌或雙鑲嵌製程的一步驟。
  12. 如請求項1所述之方法,其中在該蝕刻步驟與該形成材料層的步驟之間,該半導體結構保持處於真空。
  13. 一種在一積體電路結構中形成一保護蓋的方法,該方法包括以下步驟:蝕刻一通孔以貫穿一半導體結構,其中該半導體結構包括至少一第一電路層及一第二電路層,及其中執行該蝕刻步驟以貫穿該第二電路層而暴露該第一電路層內的一互連金屬;清洗該互連金屬;於該暴露的第一金屬上形成一含鈷保護蓋;沿該通孔的該等側壁形成一含錳阻障層,其中形成在該含鈷保護蓋上之該阻障層的厚度比沿著該通孔之該等側壁所沈積之該阻障層厚度的50%要小,及其中該阻障層在該等側壁處具有一小於或約為10奈米的厚度;及直接在該阻障層上使用銅填充該通孔。
  14. 一種半導體結構,該半導體結構包括:一第一介電材料層;一第一導電層,且該第一導電層至少部分配置在該第一介電材料層中; 一第二介電材料層;一第二導電層,且該第二導電層至少部分配置在該第二介電材料層中;及一第三導電層,該第三導電層配置在該第一導電層與該第二導電層之間,其中該第三導電層包括一導電材料,且該導電材料與該第一導電層或該第二導電層所含的材料不同。
  15. 如請求項14所述之半導體結構,其中該第二導電層包括一具有一第一寬度的第一部分及一具有一第二寬度的第二部分,且該第二寬度小於該第一寬度,其中該第二部分配置成比該第一部分更接近該第一導電層。
  16. 如請求項14所述之半導體結構,進一步包括一第三介電材料層,該第三介電材料層配置在該第一介電材料層與該第二介電材料層之間。
  17. 如請求項16所述之半導體結構,其中該第三導電層配置在該第一介電材料層與該第三介電材料層之間。
  18. 如請求項17所述之半導體結構,其中該第三導電層包括位在該第一介電材料層與該第三介電材料層間之一位置處的一第一厚度,及位在該第一導電層與該第二導電層間之一位置處的一第二厚度,且該第二厚度與該第一厚度不同。
TW104117463A 2014-05-30 2015-05-29 用於改良互連性能之保護通孔蓋 TWI660458B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/291,466 2014-05-30
US14/291,466 US9847289B2 (en) 2014-05-30 2014-05-30 Protective via cap for improved interconnect performance

Publications (2)

Publication Number Publication Date
TW201546963A true TW201546963A (zh) 2015-12-16
TWI660458B TWI660458B (zh) 2019-05-21

Family

ID=54699917

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104117463A TWI660458B (zh) 2014-05-30 2015-05-29 用於改良互連性能之保護通孔蓋

Country Status (5)

Country Link
US (1) US9847289B2 (zh)
KR (1) KR102470564B1 (zh)
CN (1) CN106415829B (zh)
TW (1) TWI660458B (zh)
WO (1) WO2015184362A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581419B2 (en) 2017-11-30 2023-02-14 Intel Corporation Heterogeneous metal line compositions for advanced integrated circuit structure fabrication
TWI817576B (zh) * 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
CN116941027A (zh) 2021-02-08 2023-10-24 麦克德米德乐思公司 用于扩散阻挡层形成的方法和湿式化学组成
US20230227975A1 (en) * 2021-12-30 2023-07-20 Applied Materials, Inc. Method of depositing metal films

Family Cites Families (846)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
EP0647163B1 (en) 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07297543A (ja) 1994-04-25 1995-11-10 Sumitomo Metal Mining Co Ltd プリント配線板用金属被覆ガラスエポキシ樹脂基板
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
DE69636880T2 (de) 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
EP0891684B1 (en) 1996-03-25 2008-11-12 S. George Lesinski Attaching of an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6379576B2 (en) 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6054380A (en) * 1997-12-09 2000-04-25 Applied Materials, Inc. Method and apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
KR100767294B1 (ko) 2000-06-23 2007-10-16 캐논 아네르바 가부시키가이샤 Cvd장치
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6663787B1 (en) * 2001-02-06 2003-12-16 Advanced Micro Devices, Inc. Use of ta/tan for preventing copper contamination of low-k dielectric layers
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
DE10222083B4 (de) 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolationsverfahren für eine Halbleitervorrichtung
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20030010645A1 (en) 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
WO2003017359A1 (en) 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
AU2002353145A1 (en) 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6797652B1 (en) * 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20030194857A1 (en) * 2002-04-11 2003-10-16 Stefan Hau-Riege Method of making a semiconductor device that has copper damascene interconnects with enhanced electromigration reliability
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US20040121583A1 (en) 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
KR100507856B1 (ko) * 2002-12-30 2005-08-17 주식회사 하이닉스반도체 Mos트랜지스터 제조방법
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040251548A1 (en) * 2003-06-16 2004-12-16 United Microelectronics Corp. Method for forming barrier layer and structure
US20100072622A1 (en) * 2003-06-16 2010-03-25 United Microelectronics Corporation Method for forming Barrier Layer and the Related Damascene Structure
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
EP1676295A2 (en) 2003-10-06 2006-07-05 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US20050146048A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Damascene interconnect structures
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
CN100594619C (zh) 2004-05-21 2010-03-17 株式会社半导体能源研究所 半导体器件及其制造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
US7176105B2 (en) * 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP2006019480A (ja) * 2004-07-01 2006-01-19 Nec Electronics Corp 半導体装置の製造方法
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US6992326B1 (en) * 2004-08-03 2006-01-31 Dupont Displays, Inc. Electronic device and process for forming same
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
WO2006069085A2 (en) 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7341943B2 (en) * 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4589787B2 (ja) * 2005-04-04 2010-12-01 パナソニック株式会社 半導体装置
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7695981B2 (en) * 2005-05-13 2010-04-13 Siluria Technologies, Inc. Seed layers, cap layers, and thin films and methods of making thereof
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
DE102005024912A1 (de) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
US7470612B2 (en) * 2005-09-13 2008-12-30 Samsung Electronics Co, Ltd. Method of forming metal wiring layer of semiconductor device
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
DE102005046976B4 (de) * 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US8247824B2 (en) * 2005-12-19 2012-08-21 Matthew Stainer Electronic devices comprising electrodes that connect to conductive members within a substrate and processes for forming the electronic devices
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR20090094368A (ko) 2006-12-11 2009-09-04 어플라이드 머티어리얼스, 인코포레이티드 건식 포토레지스트 스트립핑 프로세스 및 장치
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
JP5103914B2 (ja) * 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP2009026989A (ja) * 2007-07-20 2009-02-05 Toshiba Corp 半導体装置及び半導体装置の製造方法
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7884475B2 (en) 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
AU2008333222A1 (en) 2007-12-04 2009-06-11 Parabel Ag Multilayer solar element
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090155487A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Ultraviolet uv photo processing or curing of thin films with surface treatment
US8207060B2 (en) * 2007-12-18 2012-06-26 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8703605B2 (en) * 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
JP2009228078A (ja) * 2008-03-24 2009-10-08 Fujitsu Ltd 電解メッキ液、電解メッキ方法、および半導体装置の製造方法
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5446126B2 (ja) * 2008-05-13 2014-03-19 富士通セミコンダクター株式会社 電解メッキ方法および半導体装置の製造方法
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7879720B2 (en) 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
DE102008049775B4 (de) 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
CN102365906B (zh) 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
JP2012521075A (ja) 2009-03-17 2012-09-10 ロート ウント ラウ アーゲー 基板処理装置および基板処理方法
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8134234B2 (en) * 2009-06-18 2012-03-13 Kabushiki Kaisha Toshiba Application of Mn for damage restoration after etchback
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
WO2011050073A1 (en) * 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8314033B2 (en) * 2010-09-24 2012-11-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8980754B2 (en) * 2011-07-20 2015-03-17 Applied Materials, Inc. Method of removing a photoresist from a low-k dielectric film
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8940642B2 (en) * 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130213469A1 (en) * 2011-08-05 2013-08-22 Solexel, Inc. High efficiency solar cell structures and manufacturing methods
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
CN104025294A (zh) * 2011-10-07 2014-09-03 英特尔公司 金属互连当中dram电容器的形成
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8659156B2 (en) * 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
KR20130060432A (ko) * 2011-11-30 2013-06-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8586486B2 (en) * 2011-12-16 2013-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8791014B2 (en) * 2012-03-16 2014-07-29 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9587208B2 (en) * 2012-06-13 2017-03-07 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid composition, method for cleaning semiconductor element, and method for manufacturing semiconductor element
US8791005B2 (en) * 2012-06-18 2014-07-29 International Business Machines Corporation Sidewalls of electroplated copper interconnects
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
IN2015DN00369A (zh) * 2012-07-17 2015-06-12 Mitsui Chemicals Inc
US8835305B2 (en) * 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US9059398B2 (en) * 2012-08-03 2015-06-16 Applied Materials, Inc. Methods for etching materials used in MRAM applications
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
JP5755618B2 (ja) * 2012-09-06 2015-07-29 株式会社東芝 半導体装置
US8907483B2 (en) 2012-10-10 2014-12-09 Globalfoundries Inc. Semiconductor device having a self-forming barrier layer at via bottom
KR101827756B1 (ko) * 2012-12-03 2018-02-12 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 반도체소자용 세정액 및 이를 이용한 세정방법
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9245797B2 (en) * 2013-08-19 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Opening fill process and structure formed thereby
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9576892B2 (en) * 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9076846B2 (en) * 2013-11-04 2015-07-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits using surface modification to selectively inhibit etching
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9677172B2 (en) * 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US20150228585A1 (en) * 2014-02-10 2015-08-13 Globalfoundries Inc. Self-forming barrier integrated with self-aligned cap
US9966339B2 (en) * 2014-03-14 2018-05-08 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9984975B2 (en) * 2014-03-14 2018-05-29 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
US9613852B2 (en) * 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
JP5835534B1 (ja) * 2014-04-10 2015-12-24 三菱瓦斯化学株式会社 半導体素子の洗浄用液体組成物、および半導体素子の洗浄方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581419B2 (en) 2017-11-30 2023-02-14 Intel Corporation Heterogeneous metal line compositions for advanced integrated circuit structure fabrication
TWI808100B (zh) * 2017-11-30 2023-07-11 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
TWI817576B (zh) * 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
US11955534B2 (en) 2017-11-30 2024-04-09 Intel Corporation Heterogeneous metal line compositions for advanced integrated circuit structure fabrication

Also Published As

Publication number Publication date
CN106415829B (zh) 2019-12-17
CN106415829A (zh) 2017-02-15
US20150348902A1 (en) 2015-12-03
KR102470564B1 (ko) 2022-11-23
TWI660458B (zh) 2019-05-21
KR20170013327A (ko) 2017-02-06
WO2015184362A1 (en) 2015-12-03
US9847289B2 (en) 2017-12-19

Similar Documents

Publication Publication Date Title
TWI660458B (zh) 用於改良互連性能之保護通孔蓋
US10784086B2 (en) Cobalt etch back
TWI629373B (zh) 以六氟化鎢(wf6)回蝕進行鎢沉積
US10043709B2 (en) Methods for thermally forming a selective cobalt layer
TW201526106A (zh) 使用處理系統之氣隙結構整合
JP6793711B2 (ja) 選択的にエッチングされた自己整合ビアプロセス
KR101739613B1 (ko) Cu 배선의 형성 방법
JP5969306B2 (ja) Cu配線の形成方法
CN113519071A (zh) 利用侧壁清洁的离子束蚀刻
US9406558B2 (en) Cu wiring fabrication method and storage medium
JP2017050304A (ja) 半導体装置の製造方法
KR20180117575A (ko) Cu 배선의 제조 방법 및 Cu 배선 제조 시스템
US20200251340A1 (en) Methods and apparatus for filling a feature disposed in a substrate
US10014179B2 (en) Methods for forming cobalt-copper selective fill for an interconnect
TWI687535B (zh) 用於形成互連結構的蓋頂保護的方法
US20230008239A1 (en) Barrier layer for an interconnect structure
TW202233819A (zh) 物理氣相沉積構件以及清潔靜電吸盤的方法