CN103999226A - 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现 - Google Patents

在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现 Download PDF

Info

Publication number
CN103999226A
CN103999226A CN201180075625.9A CN201180075625A CN103999226A CN 103999226 A CN103999226 A CN 103999226A CN 201180075625 A CN201180075625 A CN 201180075625A CN 103999226 A CN103999226 A CN 103999226A
Authority
CN
China
Prior art keywords
family
semiconductor layer
nano wire
iii
stacked body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180075625.9A
Other languages
English (en)
Other versions
CN103999226B (zh
Inventor
M·拉多萨夫列维奇
R·皮拉里塞泰
G·杜威
N·慕克吉
J·卡瓦列罗斯
W·拉赫马迪
V·勒
B·舒-金
M·V·梅茨
R·周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201710001499.1A priority Critical patent/CN106847814B/zh
Publication of CN103999226A publication Critical patent/CN103999226A/zh
Application granted granted Critical
Publication of CN103999226B publication Critical patent/CN103999226B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Abstract

本发明公开了用于在同一衬底(例如硅)上的异质材料,例如III-V族半导体材料和IV族半导体(例如Ge)的共同集成的架构和技术。在实施例中,具有交替的纳米线和牺牲层的多层异质半导体材料堆叠体用来释放纳米线并允许完全围绕纳米线晶体管的沟道区的同轴栅极结构的形成。在实施例中,单独的PMOS和NMOS沟道半导体材料与具有交替的Ge/III-V层的覆盖层的起始衬底共同集成。在实施例中,在单独PMOS和单独NMOS器件内的多个堆叠的纳米线的垂直集成使能给定的布局区域的相当大的驱动电流。

Description

在栅绕式架构中的锗和III-V纳米线及纳米带的CMOS实现
技术领域
本发明的实施例通常涉及微电子器件架构和制造,且更特别地涉及CMOS的异质纳米线晶体管。
背景技术
硅CMOS技术已成为过去几十年来微电子器件的支柱。然而,摩尔定律将在某个点要求基于非硅器件技术的扩展。虽然早已在除了硅以外的材料(例如III-V族半导体)中制造微电子器件,在这些介质中的MOS技术从高容量制造(HVM)观点被认为是不成熟的。
当代III-V族技术的另一问题源于合理地配合得很好的n型和p型器件的缺乏,因为虽然III-V族材料系统具有高电子迁移率,但空穴迁移率低得多。因此,从高级硅CMOS到III-V族器件的过渡可能需要对到目前为止与基于硅的器件共同发展的电路设计的显著中断,且结果是依赖于CMOS逻辑的互补晶体管的可用性。
能够实现具有基于III-V族的微电子器件的CMOS的器件架构和制造技术提供了在更多的几十年间扩展摩尔定律的优点。
附图说明
本发明的实施例作为例子而不是作为限制被示出,且可参考当结合附图考虑时的下面的详细描述来更充分理解本发明的实施例,在附图中:
图1是根据一实施例的在同一衬底上的与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的等距视图;
图2A是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的沟道区的横截面的图示;
图2B是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的外赋区的横截面的图示;
图3A是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的沟道区的横截面的图示;
图3B是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的外赋区的横截面的图示;
图4A是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的沟道区的横截面的图示;
图4B是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的外赋区的横截面的图示;
图5A是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的沟道区的横截面的图示;
图5B是根据一实施例的穿过与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的外赋区的横截面的图示;
图6是示出根据一实施例的在同一衬底上制造与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的方法的流程图;
图7是示出根据一实施例的在同一衬底上制造与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的方法的流程图;
图8A和8B是示出根据本发明的一实施例的用于制造与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的起始衬底的横截面图示;以及
图9示出根据本发明的一个实施方式的计算设备。
具体实施方式
在下面的描述中,阐述了很多细节,然而,对本领域中的技术人员来说明显的是,可在没有这些特定细节的情况下实施本发明。在一些实例中,公知的方法和器件以方框图形式而不是详细地示出,以便避免使本发明难理解。在整个这个说明书中对“一实施例”的提及意味着联系该实施例描述的特定的特征、结构、功能或特性包括在本发明的至少一个实施例中。因此,短语“在一实施例中”在整个这个说明书的不同地方中的出现并不一定指本发明的同一实施例。此外,特定的特征、结构、功能或特性可在一个或多个实施例中以任何适当的方式组合。例如,第一实施例可与第二实施例在这两个实施例不相互排他的任何地方组合。
术语“耦合”和“连接”连同其派生词可在本文用于描述部件之间的结构关系。应理解,这些术语并不被打算作为彼此的同义词。更确切地,在特定的实施例中,“连接”可用于指示两个或多个元件彼此直接物理或电接触。“耦合”可用于指示两个或多个元件彼此直接或间接(利用在它们之间的其它中间元件)物理或电接触,和/或两个或多个元件彼此协作或交互(例如在产生影响的关系中)。
如本文使用的术语“在…之上”、“在…之下”、“在…之间”和“在…上”指一个材料层相对于其它层的相对位置。因此例如,布置在另一层之上或之下的一个层可与其它层直接接触或可具有一个或多个中间层。而且,布置在两个层之间的一个层可与该两个层直接接触或可具有一个或多个中间层。相比之下,在第二层“上”的第一层与该第二层直接接触。
本文描述的是用于在同一衬底(例如硅)上的异质材料(例如III-V族半导体材料和IV族半导体(例如Ge))的共同集成的架构和技术。在实施例中,采用具有交替的纳米线层和牺牲层的多层异质半导体材料堆叠体来释放纳米线并且允许完全围绕纳米线晶体管的沟道区的同轴栅极结构的形成。在实施例中,利用具有交替的Ge/III-V层的覆盖层(即,整片)堆叠体的起始衬底来共同集成单独的PMOS沟道半导体材料和NMOS沟道半导体材料。在实施例中,在单独PMOS和单独NMOS器件内的多个堆叠的纳米线的垂直集成使能了用于给定的布局区域的相当大的驱动电流。
图1是根据实施例的在同一衬底上101的与NMOS III-V族纳米线器件(晶体管)120集成的PMOS纳米线器件(晶体管)110的等距视图。PMOS纳米线器件110包括由布置在第一衬底区102之上的IV族半导体组成的一个或多个PMOS纳米线112A、112B,而NMOS纳米线器件120包括由布置在第二衬底区103之上的III-V族半导体组成的一个或多个NMOS纳米线122A、122B。用于PMOS和NMOS器件的不同纳米线材料提供有助于具有超过基于硅的CMOS器件的性能能力的CMOS的晶体管级架构。
在例证性实施例中,衬底101是硅,绝缘或半绝缘的,和/或具有布置在其上的绝缘或半绝缘层,PMOS器件110和NMOS器件120布置在该绝缘或半绝缘层之上。在一个这样的实施例中,衬底105包括在支承衬底上生长或转移到施主衬底(支承和施主衬底未被描绘)上的缓冲结构的顶层。在特定的实施例中,衬底101包括硅支承衬底,缓冲层外延地在硅支承衬底上生长,然而,支承衬底也可具有可以或可以不与硅组合的替代材料,该替代材料包括但不限于锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓、碳(SiC)和蓝宝石。在另一实施例中,衬底101包括可例如通过转移半导体的一层或多层而形成的介电层,例如隐埋氧化物(BoX),纳米线从该半导体的一层或多层形成到衬底101上。
如在图1中进一步示出的,对于PMOS和NMOS器件110、120中的每个,纳米线的纵向长度在沟道区(栅极导体115和125完全环绕在该沟道区周围以形成同轴纳米线结构)、外赋区(介电隔片116A、116B、126A和126B布置在该外赋区周围)和源极/漏极区113、123之间被划分。在至少沟道区内,纳米线112A、122A通过中间材料与衬底101物理地分离。对于本文描述的实施例,纳米线112A、122A的横向横截面几何形状可从圆形相当大地变化到矩形,使得纳米线112A、122A的厚度(即,在z维度上的)可大致等于纳米线112A、122A的宽度(即,在x维度上),或纳米线112A、122A的厚度和宽度可明显不同于彼此(即,在物理上类似于带)以形成圆柱形和平行六面体半导体主体。对于带实施例,为了减小的被纳米线遮蔽的立体角起见,有利的实施例具有大于x尺寸的z尺寸,从而提高通过栅极导体115、125的同轴封装。对于示例性实施例,纳米线112A、122A的宽度在5和50纳米(nm)之间,且更特别地在5和10nm之间,但这可根据实施方式而变化。
通常,纳米线112A、122A是结晶的,具有比“多晶”材料大得多的长范围数量级。在示例性实施例中,沟道区实质上是单晶体的,且虽然可在本文被称为“单晶的”,普通技术人员将认识到,低水平的晶体缺陷可能仍然存在,作为不完美的外延生长工艺的人工产物。PMOS器件110和NMOS器件120中的至少一个在衬底101没有与纳米线112A和/或122A的至少沟道区相同的材料的意义上是异质的。
在示例性实施例中,PMOS纳米线112A基本上由锗(Ge)组成。锗对于高空穴迁移率是有利的,并且还具有对Ge层和III-V族半导体层的优质外延堆叠体足够的与一些III-V族半导体材料匹配的晶格参数。PMOS纳米线112A由IV族合金(例如SiGe)组成或由硅组成的替代实施例也是可能的。在实施例中,NMOS纳米线122A基本上由III-V族半导体材料组成。在PMOS纳米线112A基本上由锗组成的示例性实施例中,NMOS纳米线122A基本上由GaAs组成。在其它实施例中,NMOS纳米线122A基本上由InAs、III-N族(例如GaN)、InP、包括GaAs的三元合金、包括InAs的三元合金、包括InP的三元合金或包括III-N族的三元合金或包括GaAs的四元合金、包括InAs的四元合金、包括InP的四元合金或包括III-N族的四元合金组成。在另外的实施例中,为了最高的载流子迁移率,在PMOS纳米线112A和NMOS纳米线122A中的沟道区实质上是无掺杂的。
如在图1中进一步示出的,纳米线112A、122A还分别包括源极/漏极区113、123。在实施例中,源极区包括用于相应的PMOS和NMOS纳米线的存在于沟道区中的相同的半导体材料,但源极和漏极区还包括较高浓度的掺杂物。在示例性实施例中,PMOS纳米线源极/漏极区113包括高p型杂质(P+掺杂物),而NMOS纳米线源极/漏极区123包括高n型杂质(即,N+掺杂物)。在某些实施例中,源极和漏极区维持与在纳米线112A和123A的沟道区内相同的单晶性。在实施例中,源极/漏极113、123中的至少一个与欧姆金属(未描绘)接触,欧姆金属同轴地完全环绕在纳米线112A、122A周围以填充在纳米线和衬底101之间的间隙中。源极/漏极触头还可包括具有与纳米线112A、122A不同的构成的外延生长的半导体。例如,隧道结(例如,环绕在纳米线122A的源极区123周围的p+层)可提供极陡的导通和关断(即,提高的子阈值性能)。作为另一例子,原位掺杂的半导体可以完全生长在释放的源极/漏极113、123周围,以获得较低的接触电阻。
在实施例中,如图1所示,PMOS纳米线器件110和NMOS纳米线器件120都包括纳米线的垂直堆叠体以实现在衬底101之上的给定器件覆盖区(即,布局区)的较大载流能力(例如,较大驱动电流)。取决于制造限制,可垂直地堆叠任何数量的纳米线,而每个纳米线的纵轴实质上平行于衬底101的顶表面。在图1所示的示例性实施例中,在至少沟道区内,PMOS纳米线112A、112B中的每个具有相同的IV族半导体材料(例如Ge)。同样地,在沟道区内,NMOS纳米线122A、122B中的每个具有相同的III-V族半导体材料(例如GaAs)。在另外的实施例中,PMOS纳米线112A、112B中的每个被栅极导体115同轴地环绕(例如,如在图2A、3A、4A、5A中进一步示出的)。类似地,对于每个堆叠的纳米线,触点金属化和/或凸起的(再生长的)源极/漏极区同轴地完全环绕在源极/漏极区113、123周围。
图2A、3A、4A和5A示出沿着穿过PMOS和NMOS器件110、120的沟道区的x-z平面(在图1中由虚线A区分)的横截面视图。图2B、3B、4B和5B示出沿着穿过PMOS和NMOS器件110、120的外赋区的x-z平面(在图1中由虚线B却分)的横截面视图。
图2A和2B示出示例性实施例,其中PMOS纳米线112A、112B实质上与NMOS纳米线122A、122B共平面。如对图2A中描述的沟道区所示的,PMOS纳米线112A的纵轴153A布置在衬底101之上的第一距离H1处,而NMOS纳米线122A的纵轴布置在衬底101之上的第二距离H2处,第二距离H2实质上等于H1(即,小于10%的差异)。此外,在纵轴153A、153B之间的节距P1实质上等于(即,小于10%的差异)NMOS纳米线122A和122B的纵轴之间的节距P2。
图2A进一步示出栅极导体115和125,每个栅极导体与栅极导体(140、145)的MOS结构相称,栅极导体(140、145)通过布置在栅极导体之下的栅极介电材料(140、145)与纳米线(112、122)电隔离。环绕式栅极构架的同轴性质在图2A中是明显的,因为栅极介电材料布置在衬底层100和栅极导体之间。栅极导体也布置在纳米线112A、122A和衬底101之间。复合地,栅极介电材料240可包括在本领域中已知适合于FET栅极电介质(和/或沟道钝化)的任何材料中的一个或多个,且优选地是高K电介质(即,具有比氮化硅(Si3N4)的介电常数大的介电常数),例如但不限于高K氧化物(如氧化钆(Gd2O3)、氧化铪(HfO2))、高K硅酸盐(例如HfSiO、TaSiO、AlSiO)和高K氮化物(例如HfON)。
类似地,栅极导体可具有适合于特定的纳米线半导体构成的栅极电极以及期望的阈值电压和操作模式(增强或耗尽)的在本领域中已知的任何材料。在某些实施例中,对PMOS栅极电介质140和NMOS栅极电介质145使用相同的栅极介电材料。通常,栅极导体构成包括功函数金属,功函数金属可被选择为对PMOS栅极导体115和NMOS栅极导体125中的每个是不同的,以得到期望阈值电压(Vt)(例如大于0V等)。示例性导电栅极材料包括钨(W)、铝(Al)、钛(Ti)、钽(Ta)、镍(Ni)、钼(Mo)、锗(Ge)、铂(Pt)、金(Au)、钌(Ru)、钯(Pd)、铱(Ir)、它们的合金和其硅化物、碳化物、氮化物、磷化物和碳氢化物。
参考图2B,在实施例中,沿着纵向纳米线长度的至少一部分物理地接合两个垂直堆叠的纳米线,其中通过中间第三晶体半导体材料层来布置隔片。例如,PMOS纳米线112A通过中间(第三)半导体层210A接合到衬底101,而PMOS纳米线112A和112B通过中间半导体层210B接合在一起。类似地,NMOS纳米线122A通过中间(第四)半导体层220A接合到衬底101,而NMOS纳米线122A和122B通过中间半导体层220B接合在一起。虽然图2B中描绘的结构可以部分地是特定制造工艺的人工制品,其中中间层210、220不被完全移除(例如,被隔片116A、126A掩蔽),但是它示出用来释放在图2A中描绘的沟道区中的纳米线的半导体材料堆叠体。
通常,中间半导体层210A、210B具有可维持PMOS纳米线112A、112B的期望结晶度的任何牺牲半导体材料,并适用于选择性地针对PMOS纳米线112A、112B被移除。在PMOS纳米线112A、112B是Ge的示例性实施例中,牺牲半导体层210A、210B包括SiGe。同样,中间半导体层220A、220B具有可维持NMOS纳米线122A、122B的期望结晶度的任何牺牲半导体材料,并适用于选择性地针对PMOS纳米线112A、112B被移除。在NMOS纳米线122A、122B是GeAs的一个示例性实施例中,牺牲半导体层220A、220B包括AlGaAs。特别地,可基于期望纳米线节距(例如P1、P2)、栅极堆叠体沉积约束、堆叠体型面控制等作为设计的问题来选择牺牲半导体层210、220的厚度(z维度)。
图3A和3B示出示例性实施例,其中PMOS纳米线112A、112B从NMOS纳米线122A、122B沿着z维度移动或偏移。如对图3A中描绘的沟道区所示的,PMOS纳米线112A的纵轴布置在衬底101之上的第一距离H1处,而NMOS纳米线122A的纵轴布置在衬底101之上的第二距离H2处,第二距离H2不同于H1(即,明显大于10%的差异)。在例证性实施例中,第二距离H2大致等于第一距离H1加上第一沟道区(z维度)的厚度的和,因为纳米线112A和122A实质上是相等的。如也示出的,在相邻PMOS纳米线112A、112B之间的间隙G1实质上等于NMOS纳米线122A的厚度(直径)(在图3B中被示为T3)。在相邻NMOS纳米线122A、122B之间的间隙G2实质上等于PMOS纳米线112B的厚度(直径)(在图3B中被示为T4)。因此,节距P1和P2实质上是相等的。
如进一步在图3B中示出的,在外赋区内,PMOS器件110的半导体材料堆叠体与NMOS器件120的相同。基本上,在PMOS纳米线(例如112B)中的IV族材料用作在NMOS器件120中的牺牲材料,而在NMOS纳米线(例如122A)中的III-V族材料用作在PMOS器件110中的牺牲材料。在示例性实施例中,PMOS器件110和NMOS器件120都包括与III-V族半导体(例如GaAs)交替的IV族半导体(例如Ge)层。半导体层的这个双重功能是有利的,因为它允许由同时覆盖衬底的第一和第二区(例如图1中的区102和103)的同一覆盖过程形成堆叠体的PMOS/NMOS对。
也在图2A、2B的实施例中示出的,PMOS纳米线112布置成通过也存在于NMOS器件堆叠体中的第三牺牲半导体材料210A与衬底101分开。关于图2A、2B,第三牺牲材料半导体210A提供布置成最接近于衬底的纳米线(例如PMOS纳米线112A)的绝缘体以允许环绕式栅极堆叠体。如在图2A和2B中所描述的采用的,第三牺牲半导体材料210A可选择性地针对PMOS纳米线112A和NMOS纳米线122A被移除。例如,在一个实施例中,第三牺牲半导体材料210A是IV族半导体(SiGe),而在另一实施例中,第三牺牲半导体材料210A是III-V族半导体(AlGaAs)。在其它实施例中,第三牺牲半导体材料可被留下,因为器件中的无功能的结构性人工制品不依赖于第三牺牲材料,作为纳米线从衬底101释放的手段(例如第三牺牲半导体材料210A可被留在NMOS器件120中),使得第三牺牲半导体材料210A对纳米线122A中的III-V族材料的选择性不造成问题。
图3A和3B示出示例性实施例,其中PMOS纳米线112A、112B沿着z维度从NMOS纳米线122A、122B再次实质上偏移。在第三示例性实施例中,第三牺牲层布置在交替的半导体材料堆叠体中的相邻层之间,作为使在第一器件(例如PMOS器件110)中的纳米线之间的垂直间隔从第二器件(例如NMOS器件120)中的纳米线的横截面维度(例如在z维度上的直径或厚度)去耦的手段。
如图4A所示,在相邻PMOS纳米线112A、112B之间的间隙G1大于NMOS纳米线122A(T3,图4B)的厚度,且在相邻NMOS纳米线122A、122B之间的间隙G2大于PMOS纳米线112B(T4,图4B)的厚度。较大的间隙G1、G2可适应于沟道设计的要求和/或栅极堆叠体沉积约束以确保在纳米线112A、112B、122A、122B周围的栅极导体115、125的足够填充。如在图4B中进一步示出的,第三牺牲半导体材料310A布置在纳米线122A、112B之间。在这个实施例中第三牺牲半导体材料310A再次选择性地针对在PMOS纳米线112B中使用的IV族材料和在NMOS纳米线122A中使用的III-V族材料被移除。虽然很多这样的材料存在,优选的材料有助于维持III-V族和IV族材料例如SiGe或AlGaAs的结晶度。
图4A和4B进一步示出示例性实施例,其中蚀刻衬底101的凹槽实现纳米线(例如PMOS纳米线112A)的释放,而没有布置在衬底101上的第三牺牲层。如所示,衬底101具有足以释放PMOS纳米线112A的高度ΔH的凹槽。在沟道区之下的凹槽的部分被回填有栅极导体115。这样的衬底可通过使用对衬底材料是选择性的蚀刻剂从下部切割第一衬底区102中的器件堆叠体来实现。由于衬底101在衬底区之一中选择性地凹进,PMOS和NMOS器件110、120可由具有最小垂直堆叠高度的相同半导体器件堆叠体形成。
图5A和5B示出示例性实施例,其中在第一器件的纳米线中使用多种材料。该多种材料接着在第二器件中牺牲或保留。如图5A所示,NMOS器件120包括具有底部和顶部势垒层或过渡层132A、132B的纳米线122A以及具有底部和顶部势垒层或过渡层142A、142B的纳米线122B。势垒层或过渡层132A、132B、142A、142B可具有与纳米线122A、122B不同(例如更宽)的带隙,并可起下列项中的一个或多个的作用:结合栅极电介质145限制载流子的混合栅极介电层、沟道钝化层、表层电荷感应层、应变层、或沟道带隙(Vt)调谐层等。
如图3B所示,势垒层或过渡层132A、132B、142A、142B存在于PMOS和NMOS器件110、120的外赋区中,并可作为牺牲层被利用或作为互补器件的沟道区中的部分覆层被保留。例如,可在NMOS器件120的上面描述的功能能力之一中在PMOS器件110中利用势垒层或过渡层132A、132B、142A、142B。然而,在图5A所示的实施例中,势垒层或过渡层132A、132B、142A、142B从PMOS纳米线112A、112B中被移除,连同利用用于NMOS纳米线122A、122B的III-V族半导体一起牺牲。在这个示例性实施例中,从移除势垒层或过渡层132A、132B产生的较大间隙G1然后提供对单独的沟道过渡层138的更多空间,沟道过渡层138像栅极电介质140和栅极导体115一样可环绕在PMOS纳米线112A、112B周围。同样,通过移除势垒层或过渡层142A、142B来提供较大的间隙G2。
现在提供制造过程实施例的突出部分的简要描述。图6和7是示出根据本发明的实施例的在同一衬底上制造与PMOS IV族纳米线晶体管集成的NMOS III-V纳米线晶体管的方法601和701的流程图。虽然方法601和701强调某些操作,那些操作可能要求多得多的工艺序列,且没有通过图6和7中的操作的编号或操作的相对定位来暗示顺序。通常,方法601利用具有沉积在半导体堆叠体中的覆盖层(其如图8A所示,在衬底810、820的两个区中是相同的)的起始衬底,而方法701要求具有沉积在衬底810、820的两个区中的在材料上不同的堆叠体的起始衬底。
首先参考图6,方法601在操作610以布置在衬底的第一和第二区上的PMOS和NMOS半导体材料层的交替堆叠体开始。例如,在图8A中描绘的例证性实施例中,起始衬底801具有半导体材料803的相同堆叠体,其中IV族半导体层与布置在区810和820之上的III-V族半导体层交替。半导体材料803的交替堆叠体可在衬底101上外延地生长或被转移和粘合。
随后,在操作620,例如通过各向异性等离子体蚀刻将堆叠体803蚀刻到第一区810中的第一鳍状物和第二区820中的第二鳍状物中。取决于IV族和III-V族材料,可利用基于常规技术的一种或多种等离子蚀刻工艺。在操作630,从第一鳍状物移除NMOS材料以形成在IV族半导体层和衬底101之间的间隙来释放沿着纵向沟道长度的PMOS纳米线。例如,在起始材料801(图8A)中,在第一区810内使用常规技术(例如各向同性湿和/或干蚀刻化学性质)针对IV族层选择性地移除III-V族层以释放PMOS纳米线112A、112B。在操作640,从第二鳍状物针对III-V族半导体层选择性地移除PMOS材料以形成在III-V族半导体层和衬底之间的间隙来释放沿着至少其纵向沟道长度的NMOS纳米线。例如,在堆叠体803(图8A)中,在第二区820内,针对III-V族层选择性地移除IV族层以释放NMOS纳米线122A和122B。
在操作650,接着使用任何常规沉积技术根据所利用的材料完全围绕PMOS和NMOS纳米线(即,同轴结构)而形成栅极堆叠体。在特定的实施例中,利用替代栅极技术,如在本领域中对非平面硅晶体管技术已知的。在一个实施例中,形成牺牲栅极(堆叠体),在纳米线112A、112B中的源极和漏极区是p型掺杂的,而在纳米线122A、122B中的源极和漏极区是n型掺杂的(和/或环绕有凸起的源极漏极区),牺牲栅极被移除,且非牺牲栅极堆叠体形成。用于形成非牺牲栅极的示例性技术包括对高K栅极介电材料的原子层沉积(ALD)和对栅极导体材料的ALD或物理气相沉积(PVD)。方法610然后在操作660使用常规处理(例如夹层介电形成、在PMOS和NMOS器件中的每个上的源极和漏极触点形成等)来完成。
接着参考图7,方法701在操作715以沉积在衬底的第一区上的交替的PMOS半导体材料层和第一牺牲材料的第一堆叠体和沉积在衬底的第二区上的交替的NMOS半导体材料层和第二牺牲材料的第二堆叠体开始。例如,在图8B中描绘的例证性实施例中,起始材料802包括沉积在第一衬底区810之上的半导体材料804的第一堆叠体,IV族半导体层与第一牺牲材料层210A、210B交替。起始材料802还包括沉积在第二衬底区820之上的半导体材料805的第二堆叠体,III-V族半导体层与第二牺牲材料层220A、220B交替。对于这个实施例,半导体材料的每个不同的交替堆叠体可外延地生长在衬底101上(例如在场隔离介电层850中形成的沟槽中),且然后材料的侧壁被暴露(例如通过使场隔离介电层850凹进)。
在操作725,通过蚀刻剂在IV族半导体材料上选择性地移除第一牺牲材料层210A、210B以形成PMOS纳米线112A、112B来释放PMOS纳米线112A、112B。在操作735,通过蚀刻剂在III-V族半导体材料上选择性地移除第二牺牲材料层220A、220B以释放NMOS纳米线122A、122B。在操作745,根据功函数和所利用的覆层材料使用任何常规沉积技术完全环绕在PMOS和NMOS纳米线(即,形成具有纳米线的同轴结构的功函数金属)的所释放的沟道区周围而形成栅极堆叠体。在特定的实施例中,利用替代栅极技术,如在非平面硅晶体管技术的领域中已知的。在一个实施例中,形成牺牲栅极(堆叠体),在纳米线112A、112B中的源极和漏极区是p型掺杂的,而在纳米线122A、122B中的源极和漏极区是n型掺杂的(和/或环绕有凸起的源极漏极区),牺牲栅极被移除,且非牺牲栅极堆叠体形成。方法701然后在操作660使用常规处理(例如夹层介电形成、在PMOS和NMOS器件中的每个上的源极和漏极接触形成等)来完成。
在任一方法601或701中,应理解,沉积在衬底上的半导体堆叠体还可包括在本文的其它地方描述的一个或多个中间半导体层(例如通过使第三牺牲层在第一和第二区810、820中的衬底之上外延地生长)。对于这样的实施例,操作620和725接着还包括针对IV族半导体层选择性地蚀刻第三牺牲层以在第一区810中形成在IV族半导体层和衬底之间的间隙,其比III-V族半导体层的厚度宽。类似地,针对III-V族半导体层选择性地蚀刻第三牺牲层以在第二区820中形成在III-V族半导体层和衬底之间的间隙,其比IV族半导体层的厚度宽。
图9示出根据本发明的一个实施方式的计算设备1000。计算设备1000容纳板1002。板1002可包括多个部件,包括但不限于处理器1004和至少一个通信芯片1006。处理器1004物理地和电气地耦合到板1002。在一些实施方式中,至少一个通信芯片1006也物理地和电气地耦合到板1002。在另外的实施方式中,通信芯片1006是处理器1004的部分。
根据其应用,计算设备1000可包括其它部件,其他部件可以或可以不物理地和电气地耦合到板1002。这些其它部件可包括但不限于易失性存储器(例如DRAM)、非易失性存储器(例如ROM)、闪存、图形处理器、数字信号处理器、加密处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机和大容量存储设备(例如硬盘驱动器、光盘(CD)、数字通用盘(DVD)等)。
通信芯片1006使能用于到和来自计算设备1000的数据的传输的无线通信。术语“无线”及其派生词可用于描述可通过使用经由非固体介质的经调制电磁辐射来传递数据的电路、设备、系统、方法、技术、通信通道等。该术语并不暗示相关的设备不包含任何电线,虽然在一些实施例中它们可以不包含电线。通信芯片1006可实现多种无线标准或协议中的任意,包括但不限于Wi-Fi(IEEE802.11系列)、WiMAX(IEEE802.16系列)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物以及被指定为3G、4G、5G和更高代的任何其它无线协议。计算设备1000可包括多个通信芯片1006。例如,第一通信芯片1006可专用于较短距离无线通信,例如Wi-Fi和蓝牙,而第二通信芯片1006可专用于较长距离无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO和其它。
计算设备1000的处理器1004包括封装在处理器1004内的集成电路。在本发明的一些实施方式中,处理器的集成电路管芯包括一个或多个器件,例如根据在本文的其它地方描述的实施例的PMOS器件110和NMOS器件120(图1)。术语“处理器”可以指处理例如来自寄存器和/或存储器的电子数据以将该电子数据转换成可存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
通信芯片1006还可包括封装在通信芯片1006内的集成电路管芯。根据本发明的另一实施方式,通信芯片的集成电路裸片包括一个或多个器件,例如根据在本文的其它地方描述的实施例的PMOS器件110和NMOS器件120(图1)。
在另外的实施方式中,容纳在计算设备1000内的另一部件可包含集成电路管芯,集成电路管芯包括一个或多个器件,例如PMOS器件110和NMOS器件120,如在图1中所示的和在本文的其它地方描述的那样。
在各种实施方式中,计算设备1000可以是膝上型计算机、上网本、笔记本计算机、超级笔记本计算机、智能电话、平板计算机、个人数字助理(PDA)、超移动PC、移动电话、桌上型计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器或数字视频记录器。在另外的实施方式中,设备1000可以是处理数据的任何其它电子设备。
应理解,上面的描述是例证性的而不是限制性的。例如,虽然附图中的流程图示出由本发明的某些实施例执行的操作的特定顺序,应理解,这样的顺序可能不是要求的(例如,替代的实施例可以按不同的顺序执行操作,组合某些操作,重叠某些操作,等等)。此外,对于在阅读并理解上面的描述后的本领域中的技术人员来说,很多其它实施例将是明显的。虽然参考特定的示例性实施例描述了本发明,应认识到,本发明不限于所描述的实施例,而是可在所附权利要求的精神和范围内的修改和变更下实践本发明。应因此参考所附权利要求连同这样的权利要求享有权利的等效形式的完整范围来确定本发明的范围。

Claims (25)

1.一对半导体器件,包括:
布置在衬底之上的第一纳米线,其中,所述第一纳米线的纵向长度还包括:
IV族半导体材料的第一沟道区;
与所述第一沟道区电耦合的第一源极区和第一漏极区;
第一栅极堆叠体,其包括同轴地完全环绕在所述第一沟道区周围的栅极绝缘体和栅极导体;以及
布置在所述衬底之上的第二纳米线,所述第二纳米线还包括:
III-V族半导体材料的第二沟道区;
与所述第二沟道区电耦合的第二源极区和第二漏极区;以及
第二栅极堆叠体,其包括同轴地完全环绕在所述第二沟道区周围的栅极绝缘体和栅极导体。
2.如权利要求1所述的一对半导体器件,其中,所述第一源极区和第一漏极区是p型,且其中,所述第二源极区和第二漏极区是n型,以使该对半导体器件变得互补。
3.如权利要求1所述的一对半导体器件,其中,所述IV族半导体材料基本上由锗(Ge)组成。
4.如权利要求1所述的一对半导体器件,其中,所述第一沟道区的纵向轴布置在所述衬底之上的第一距离处,且其中,所述第二沟道区的纵向轴布置在所述衬底之上的第二距离处,所述第二距离不同于所述第一距离。
5.如权利要求1所述的一对半导体器件,其中,所述第二距离至少等于所述第一距离加上所述第一沟道区沿着垂直于所述衬底的方向的厚度后的和。
6.如权利要求1所述的一对半导体器件,其中,所述第一纳米线布置在纳米线的第一垂直堆叠体内,其中,在所述第一垂直堆叠体中的每个纳米线具有基本上由所述IV族材料组成的沟道区,且其中,在所述第一垂直堆叠体中的两个相邻纳米线在沿着所述纵向长度的位置处通过不同于所述IV族材料的中间结晶半导体材料物理地接合。
7.如权利要求6所述的一对半导体器件,其中,所述中间结晶半导体材料布置在相邻于第一栅极堆叠体的隔片区内。
8.如权利要求6所述的一对半导体器件,其中,所述中间结晶半导体材料是所述III-V族半导体材料。
9.如权利要求8所述的一对半导体器件,其中,所述第二纳米线布置在纳米线的第二垂直堆叠体内,其中,在所述第二垂直堆叠体中的每个纳米线具有基本上由所述III-V族半导体材料组成的沟道区,且其中,在所述第二垂直堆叠体中的两个相邻纳米线在沿着所述纵向长度的位置处通过不同于所述III-V族半导体的第二中间结晶半导体材料物理地接合。
10.如权利要求9所述的一对半导体器件,其中,所述中间结晶半导体材料是所述III-V族半导体材料,且其中所述第二中间结晶半导体材料是所述IV族半导体材料。
11.如权利要求10所述的一对半导体器件,其中,在所述第一垂直堆叠体中的两个相邻纳米线和在所述第二垂直堆叠体中的两个相邻纳米线在沿着所述纵向长度的位置处通过不同于所述IV族半导体材料或所述III-V族半导体材料的第三中间结晶半导体材料物理地接合。
12.如权利要求11所述的一对半导体器件,其中,所述第三中间结晶半导体材料是IV族半导体材料。
13.如权利要求12所述的一对半导体器件,其中,所述第三中间结晶半导体材料是SiGe。
14.一对互补晶体管,包括:
布置在衬底之上的p型晶体管,其中,纵向长度的所述p型晶体管还包括:
IV族半导体材料的第一沟道区;
与所述第一沟道区电耦合的p型源极区和漏极区;
第一栅极堆叠体,其包括同轴地完全环绕在所述第一沟道区周围的栅极绝缘体和栅极导体;以及
布置在所述衬底之上的n型晶体管,其中,纵向长度的所述n型晶体管还包括:
III-V族半导体材料的第二沟道区;
与所述第一沟道区电耦合的n型源极区和漏极区;以及
第二栅极堆叠体,其包括同轴地完全环绕在所述第二沟道区周围的栅极绝缘体和栅极导体。
15.所述对半导体器件,其中,所述IV族半导体材料基本上由锗(Ge)组成,且其中,所述III-V族半导体材料基本上由GaAs、InAs、InP和III-N族中的一种组成。
16.一种在衬底上形成一对半导体器件的方法,所述方法包括:
在所述衬底的第一区上布置半导体层的第一堆叠体,所述半导体层的第一堆叠体包括覆盖第一牺牲层的结晶IV族半导体层;
在所述衬底的第二区上布置半导体层的第二堆叠体,所述半导体层的第二堆叠体包括覆盖第二牺牲层的结晶III-V族半导体层;
蚀刻所述第一堆叠体以限定包括所述IV族半导体层的第一纳米线;
蚀刻所述第二堆叠体以限定包括所述III-V族半导体层的第二纳米线;
形成沿着所述第一纳米线的纵向沟道长度同轴地完全环绕在所述IV族结晶层周围的第一栅极堆叠体;以及
形成沿着所述第二纳米线的纵向沟道长度同轴地完全环绕在所述III-V族结晶层周围的第二栅极堆叠体。
17.如权利要求16所述的方法,还包括:
形成与所述第一纳米线的沟道电耦合的p型源极区和漏极区;以及
形成与所述第二纳米线的沟道电耦合的n型源极区和漏极区。
18.如权利要求16所述的方法,其中,蚀刻半导体层的所述第一堆叠体还包括针对所述IV族半导体层选择性地移除所述第一牺牲层以沿着所述纵向沟道长度形成在所述IV族半导体层和所述衬底之间的间隙;以及
其中,蚀刻半导体层的所述第二堆叠体还包括针对所述III-V族半导体层选择性地移除所述第二牺牲层以沿着所述纵向沟道长度形成在所述III-V族半导体层和所述衬底之间的间隙。
19.如权利要求16所述的方法,其中,使所述IV族半导体层外延地生长还包括使基本上由Ge组成的材料外延地生长;以及
其中,使所述III-V族半导体层外延地生长还包括使基本上由GaAs组成的材料生长。
20.如权利要求16所述的方法,其中,所述第一牺牲层是所述结晶III-V族半导体层,且其中,所述第二牺牲层是所述结晶IV族半导体层;以及
其中,在所述衬底上布置半导体层的所述第一堆叠体和所述第二堆叠体包括使所述结晶III-V族半导体层和所述结晶IV族半导体层两者在所述衬底的所述第一区和所述第二区两者之上外延地生长。
21.如权利要求20所述的方法,其中,蚀刻所述半导体层的第一堆叠体还包括针对所述IV族半导体层选择性地移除所述III-V族半导体层以在所述第一区中形成在所述IV族半导体层和所述衬底之间的间隙;并且其中
蚀刻半导体层的所述第二堆叠体还包括针对所述III-V族半导体层选择性地移除所述IV族半导体层以在所述第二区中形成在所述III-V族半导体层和所述衬底之间的间隙。
22.如权利要求20所述的方法,其中,在所述衬底上布置半导体层的所述第一堆叠体和所述第二堆叠体包括使第三牺牲层在所述第一区和第二区中的所述衬底之上外延地生长;以及
其中,蚀刻半导体层的所述第一堆叠体还包括选择性地针对所述IV族半导体层移除所述III-V族半导体层和所述第三牺牲层以在所述第一区中形成在所述IV族半导体层和所述衬底之间的间隙,所述间隙比所述III-V族半导体层的厚度宽;以及
其中,蚀刻半导体层的所述第二堆叠体还包括选择性地针对所述III-V族半导体层移除所述IV族半导体层和所述第三牺牲层以在所述第二区中形成在所述III-V族半导体层和所述衬底之间的间隙,所述间隙比所述IV族半导体层的厚度宽。
23.如权利要求20所述的方法,其中,使所述IV族半导体层外延地生长还包括使基本上由Ge组成的材料外延地生长;以及
其中,使所述III-V族半导体层外延地生长还包括使基本上由GaAs组成的材料生长;以及
其中,使所述第三牺牲层外延地生长还包括使基本上包括SiGe或AlGaAs的材料生长。
24.如权利要求16所述的方法,还包括使同轴地完全环绕在所述IV族结晶层或所述III-V族结晶层中的至少一个周围的结晶半导体过渡层外延地生长。
25.如权利要求16所述的方法,其中,形成所述第一堆叠体和所述第二堆叠体还包括通过原子层沉积来在所述第一纳米线和第二纳米线的被释放部分周围沉积高K介电材料,且其中,形成所述第一栅极堆叠体还包括在所述第一纳米线的所述被释放部分周围沉积第一功函数金属,且其中形成所述第二栅极堆叠体还包括在所述第二纳米线的所述被释放部分周围沉积第二功函数金属。
CN201180075625.9A 2011-12-19 2011-12-19 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现 Active CN103999226B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710001499.1A CN106847814B (zh) 2011-12-19 2011-12-19 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/065914 WO2013095341A1 (en) 2011-12-19 2011-12-19 Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710001499.1A Division CN106847814B (zh) 2011-12-19 2011-12-19 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现

Publications (2)

Publication Number Publication Date
CN103999226A true CN103999226A (zh) 2014-08-20
CN103999226B CN103999226B (zh) 2017-02-15

Family

ID=48669008

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180075625.9A Active CN103999226B (zh) 2011-12-19 2011-12-19 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
CN201710001499.1A Active CN106847814B (zh) 2011-12-19 2011-12-19 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710001499.1A Active CN106847814B (zh) 2011-12-19 2011-12-19 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现

Country Status (5)

Country Link
US (4) US9123567B2 (zh)
CN (2) CN103999226B (zh)
DE (1) DE112011105970B4 (zh)
TW (1) TWI502729B (zh)
WO (1) WO2013095341A1 (zh)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104695020A (zh) * 2015-03-05 2015-06-10 湖南大学 一种条状InAs纳米带及其制备和应用
CN105679827A (zh) * 2014-12-05 2016-06-15 三星电子株式会社 包括堆叠的纳米片场效应晶体管的装置
CN105762190A (zh) * 2014-12-19 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
CN106024582A (zh) * 2015-03-30 2016-10-12 国际商业机器公司 使用公共释放材料形成多堆叠纳米线
CN106158859A (zh) * 2015-04-09 2016-11-23 中国科学院微电子研究所 一种半导体器件及其制造方法
CN107690704A (zh) * 2015-06-27 2018-02-13 英特尔公司 具有GAAS作为牺牲层的Ge纳米线晶体管
CN108028275A (zh) * 2015-09-25 2018-05-11 英特尔公司 纳米线晶体管设备架构
CN108172546A (zh) * 2017-12-22 2018-06-15 中国科学院微电子研究所 一种cmos纳米线及其制造方法
CN108231582A (zh) * 2016-12-14 2018-06-29 台湾积体电路制造股份有限公司 用以蚀刻半导体材料的方法
CN108305897A (zh) * 2017-01-11 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN108604601A (zh) * 2016-03-11 2018-09-28 英特尔公司 使用牺牲性iv族材料层形成包括iii-v族材料纳米线的晶体管的技术
CN108701653A (zh) * 2016-02-25 2018-10-23 株式会社索思未来 半导体集成电路装置
CN108695378A (zh) * 2017-04-12 2018-10-23 三星电子株式会社 半导体装置
CN109119420A (zh) * 2017-06-23 2019-01-01 三星电子株式会社 半导体器件及其制造方法
CN109427588A (zh) * 2017-08-31 2019-03-05 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN109585555A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN109643725A (zh) * 2016-08-08 2019-04-16 东京毅力科创株式会社 三维半导体器件及制造方法
CN110649023A (zh) * 2018-06-26 2020-01-03 台湾积体电路制造股份有限公司 集成电路
CN110660802A (zh) * 2018-06-29 2020-01-07 三星电子株式会社 半导体器件
CN111542923A (zh) * 2017-12-04 2020-08-14 东京毅力科创株式会社 具有堆叠栅极的半导体器件及其制造方法
CN111699550A (zh) * 2018-03-19 2020-09-22 东京毅力科创株式会社 三维器件及其形成方法
CN112768514A (zh) * 2021-01-19 2021-05-07 泉芯集成电路制造(济南)有限公司 全环绕闸极垂直贯穿式晶体管及其制备方法
CN113809015A (zh) * 2020-06-17 2021-12-17 台湾积体电路制造股份有限公司 用于功函数工程的三层高k栅极介电堆叠
CN114038901A (zh) * 2020-10-22 2022-02-11 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN114628523A (zh) * 2022-01-25 2022-06-14 深圳大学 一种基于氮化镓的cmos场效应晶体管及制备方法
US11776852B2 (en) 2017-09-29 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013048417A1 (en) 2011-09-29 2013-04-04 Intel Corporation Electropositive metal containing layers for semiconductor applications
WO2013095656A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
DE112011106004B4 (de) * 2011-12-23 2017-07-13 Intel Corporation Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
CN103985751B (zh) * 2013-02-08 2016-12-28 中国科学院微电子研究所 半导体设置及其制造方法
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
KR102198938B1 (ko) * 2013-09-27 2021-01-05 인텔 코포레이션 인핸스먼트 모드 GaN 반도체 디바이스들을 위한 복합 하이-K 금속 게이트 스택
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
CN103700660A (zh) * 2013-12-11 2014-04-02 中国科学院上海微系统与信息技术研究所 一种全环栅cmos场效应晶体管和制备方法
CN105723500B (zh) 2013-12-16 2019-11-12 英特尔公司 不具有弛豫衬底的nmos和pmos应变器件
KR102146449B1 (ko) * 2013-12-18 2020-08-20 인텔 코포레이션 이종 층 디바이스
CN103715195B (zh) * 2013-12-27 2017-02-08 中国科学院上海微系统与信息技术研究所 一种基于硅基三维纳米阵列的全环栅cmos结构和制备方法
US9595525B2 (en) * 2014-02-10 2017-03-14 International Business Machines Corporation Semiconductor device including nanowire transistors with hybrid channels
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9257450B2 (en) 2014-02-18 2016-02-09 Stmicroelectronics, Inc. Semiconductor device including groups of stacked nanowires and related methods
US10134759B2 (en) 2014-02-18 2018-11-20 Stmicroelectronics, Inc. Semiconductor device including groups of nanowires of different semiconductor materials and related methods
KR102178828B1 (ko) * 2014-02-21 2020-11-13 삼성전자 주식회사 멀티 나노와이어 트랜지스터를 포함하는 반도체 소자
US9528194B2 (en) 2014-03-31 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited & National Taiwan University Systems and methods for forming nanowires using anodic oxidation
CN103928482A (zh) * 2014-03-31 2014-07-16 上海新储集成电路有限公司 一种cmos纳米线晶体管结构及制备方法
US9953989B2 (en) 2014-03-31 2018-04-24 Taiwan Semiconductor Manufacturing Company Limited and National Taiwan University Antifuse array and method of forming antifuse using anodic oxidation
US10062426B2 (en) * 2014-04-24 2018-08-28 Micron Technology, Inc. Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery
KR102158963B1 (ko) 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9331146B2 (en) * 2014-06-11 2016-05-03 International Business Machines Corporation Silicon nanowire formation in replacement metal gate process
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9219154B1 (en) * 2014-07-15 2015-12-22 International Business Machines Corporation Method of fabricating electrostatically enhanced fins and stacked nanowire field effect transistors
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
TWI574414B (zh) * 2014-09-01 2017-03-11 財團法人國家實驗研究院 電晶體結構
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
KR102255174B1 (ko) * 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US10170549B2 (en) * 2014-10-21 2019-01-01 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETs and/or quantum well stacked nanosheet
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US9406506B2 (en) 2014-11-05 2016-08-02 International Business Machines Corporation Lattice matched aspect ratio trapping to reduce defects in III-V layer directly grown on silicon
US9287362B1 (en) 2014-11-21 2016-03-15 International Business Machines Corporation Vertical field effect transistors with controlled overlap between gate electrode and source/drain contacts
US9287360B1 (en) 2015-01-07 2016-03-15 International Business Machines Corporation III-V nanowire FET with compositionally-graded channel and wide-bandgap core
FR3033081B1 (fr) * 2015-02-24 2017-03-31 Commissariat Energie Atomique Procede de modification de l'etat de contrainte d'une structure semi-conductrice a etages de canal de transistor
US9793403B2 (en) 2015-04-14 2017-10-17 Samsung Electronics Co., Ltd. Multi-layer fin field effect transistor devices and methods of forming the same
US9437502B1 (en) * 2015-06-12 2016-09-06 International Business Machines Corporation Method to form stacked germanium nanowires and stacked III-V nanowires
US9647071B2 (en) * 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
EP3112316B1 (en) * 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
EP3125273A1 (en) 2015-07-31 2017-02-01 IMEC vzw Strained group iv channels
US10103242B2 (en) 2015-08-12 2018-10-16 International Business Machines Corporation Growing groups III-V lateral nanowire channels
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
CN113611610A (zh) * 2015-09-10 2021-11-05 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
CN107924875B (zh) * 2015-09-24 2022-11-01 英特尔公司 混合三栅极和纳米线cmos器件架构
US9484405B1 (en) * 2015-09-29 2016-11-01 International Business Machines Corporation Stacked nanowire devices formed using lateral aspect ratio trapping
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
CN106601738B (zh) * 2015-10-15 2018-08-24 上海新昇半导体科技有限公司 互补场效应晶体管及其制备方法
US10032678B2 (en) 2015-10-15 2018-07-24 Qualcomm Incorporated Nanowire channel structures of continuously stacked nanowires for complementary metal oxide semiconductor (CMOS) devices
US9685564B2 (en) 2015-10-16 2017-06-20 Samsung Electronics Co., Ltd. Gate-all-around field effect transistors with horizontal nanosheet conductive channel structures for MOL/inter-channel spacing and related cell architectures
US10204985B2 (en) * 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20200258740A1 (en) * 2015-11-16 2020-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Forming Stacked Nanowire Transistors
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9425291B1 (en) 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
US9431301B1 (en) 2015-12-10 2016-08-30 International Business Machines Corporation Nanowire field effect transistor (FET) and method for fabricating the same
US9412849B1 (en) 2015-12-11 2016-08-09 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
CN106887409B (zh) * 2015-12-15 2020-02-21 上海新昇半导体科技有限公司 互补纳米线半导体器件及其制造方法
CN106910716B (zh) * 2015-12-22 2021-10-15 Imec 非营利协会 Si基高迁移率CMOS装置的制造方法及所得装置
KR102366953B1 (ko) 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9899416B2 (en) 2016-01-11 2018-02-20 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9484267B1 (en) 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
KR102476143B1 (ko) 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
KR102461174B1 (ko) 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
US9472471B1 (en) 2016-03-01 2016-10-18 International Business Machines Corporation Hybrid orientation vertically stacked III-V and Ge gate-all-around CMOS
US9755017B1 (en) * 2016-03-01 2017-09-05 International Business Machines Corporation Co-integration of silicon and silicon-germanium channels for nanosheet devices
US10439039B2 (en) 2016-03-25 2019-10-08 Qualcomm Incorporated Integrated circuits including a FinFET and a nanostructure FET
KR102506426B1 (ko) * 2016-06-07 2023-03-08 삼성전자주식회사 반도체 소자
US10134905B2 (en) 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
WO2018004607A1 (en) * 2016-06-30 2018-01-04 Intel Corporation Co-integration of gan and self-aligned thin body group iv transistors
CN109643715B (zh) 2016-07-19 2023-05-12 东京毅力科创株式会社 三维半导体装置以及其制造方法
US9842914B1 (en) * 2016-08-19 2017-12-12 International Business Machines Corporation Nanosheet FET with wrap-around inner spacer
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US9704863B1 (en) 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
US9876088B1 (en) * 2016-09-19 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
US9853114B1 (en) 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10312152B2 (en) 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10177226B2 (en) * 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US10170378B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
CN108231589B (zh) * 2016-12-09 2020-06-05 Imec 非营利协会 纳米线半导体器件中内间隔的形成
KR102574454B1 (ko) 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102564325B1 (ko) * 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US9825143B1 (en) * 2017-01-09 2017-11-21 International Business Machines Corporation Single spacer tunnel on stack nanowire
KR102363250B1 (ko) * 2017-03-23 2022-02-16 삼성전자주식회사 반도체 소자
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
JP7205912B2 (ja) 2017-04-27 2023-01-17 東京エレクトロン株式会社 Nfet及びpfetナノワイヤデバイスを製造する方法
US10276728B2 (en) 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
US10297508B2 (en) * 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2019059894A1 (en) * 2017-09-19 2019-03-28 Intel Corporation MULTICHANNEL VERTICAL TRANSISTOR FOR INTEGRATED NONVOLATILE MEMORY
US10170304B1 (en) 2017-10-25 2019-01-01 Globalfoundries Inc. Self-aligned nanotube structures
US10340363B2 (en) * 2017-11-06 2019-07-02 International Business Machines Corporation Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
JP6877319B2 (ja) * 2017-11-15 2021-05-26 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10700066B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10566330B2 (en) 2017-12-11 2020-02-18 Samsung Electronics Co., Ltd. Dielectric separation of partial GAA FETs
WO2019132865A1 (en) * 2017-12-29 2019-07-04 Intel Corporation Heterogeneous ge/iii-v cmos transistor structures
US20190221483A1 (en) * 2018-01-12 2019-07-18 Globalfoundries Inc. Single work function enablement for silicon nanowire device
US11387238B2 (en) * 2018-03-02 2022-07-12 Intel Corporation Non-silicon N-Type and P-Type stacked transistors for integrated circuit devices
US11081567B2 (en) 2018-03-12 2021-08-03 International Business Machines Corporation Replacement-channel fabrication of III-V nanosheet devices
US10424639B1 (en) 2018-04-19 2019-09-24 International Business Machines Corporation Nanosheet transistor with high-mobility channel
US10388755B1 (en) 2018-06-04 2019-08-20 International Business Machines Corporation Stacked nanosheets with self-aligned inner spacers and metallic source/drain
US10332809B1 (en) * 2018-06-21 2019-06-25 International Business Machines Corporation Method and structure to introduce strain in stack nanosheet field effect transistor
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
US10535570B1 (en) 2018-06-22 2020-01-14 International Business Machines Corporation Cointegration of III-V channels and germanium channels for vertical field effect transistors
US11476329B2 (en) 2018-07-26 2022-10-18 Sony Semiconductor Solutions Corporation Semiconductor device
KR102513084B1 (ko) 2018-08-27 2023-03-24 삼성전자주식회사 반도체 장치
CN112602193A (zh) 2018-09-05 2021-04-02 东京毅力科创株式会社 用于制造单片集成3d cmos逻辑和存储器的架构设计和工艺
CN112585752B (zh) * 2018-09-05 2023-09-19 东京毅力科创株式会社 3d逻辑和存储器的配电网络
CN110970369B (zh) * 2018-09-30 2022-08-02 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
US11043493B2 (en) * 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
KR20200057125A (ko) * 2018-11-15 2020-05-26 삼성전자주식회사 반도체 소자
US10607847B1 (en) * 2018-12-03 2020-03-31 Applied Materials, Inc. Gate all around device and method of formation using angled ions
US11532619B2 (en) * 2019-03-27 2022-12-20 Intel Corporation Transistor structures including a non-planar body having variable and complementary semiconductor and insulator portions
US11088255B2 (en) * 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
KR20210000780A (ko) * 2019-06-25 2021-01-06 삼성전자주식회사 반도체 장치
US11887988B2 (en) 2019-08-01 2024-01-30 Intel Corporation Thin film transistor structures with regrown source and drain
US11574845B2 (en) * 2019-08-07 2023-02-07 Tokyo Electron Limited Apparatus and method for simultaneous formation of diffusion break, gate cut, and independent N and P gates for 3D transistor devices
TWI819068B (zh) 2019-08-16 2023-10-21 聯華電子股份有限公司 半導體裝置以及其製作方法
CN113348536B (zh) * 2019-09-13 2024-04-02 株式会社日立高新技术 半导体装置的制造方法以及等离子体处理装置
US11177259B2 (en) * 2019-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer
US11404416B2 (en) 2019-12-17 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance fill metal layer material as stressor in metal gates
US11257933B2 (en) * 2019-12-19 2022-02-22 Institute of Microelectronics, Chinese Academy Semiconductor device and method for manufacturing the same
US11164785B2 (en) * 2019-12-27 2021-11-02 Intel Corporation Three-dimensional integrated circuits (3DICs) including upper-level transistors with epitaxial source and drain material
US11244943B2 (en) 2019-12-27 2022-02-08 Intel Corporation Three-dimensional integrated circuits (3DICs) including bottom gate MOS transistors with monocrystalline channel material
US11328988B2 (en) 2019-12-27 2022-05-10 Intel Corporation Top gate recessed channel CMOS thin film transistor in the back end of line and methods of fabrication
US11251182B2 (en) 2020-03-17 2022-02-15 International Business Machines Corporation Staggered stacked vertical crystalline semiconducting channels
US11798851B2 (en) 2020-04-14 2023-10-24 International Business Machines Corporation Work function metal patterning for nanosheet CFETs
US11335683B2 (en) * 2020-06-30 2022-05-17 Qualcomm Incorporated Device channel profile structure
KR20220005746A (ko) * 2020-07-07 2022-01-14 삼성전자주식회사 반도체 장치
KR20220010662A (ko) 2020-07-17 2022-01-26 삼성전자주식회사 반도체 장치
KR20220016332A (ko) 2020-07-30 2022-02-09 삼성전자주식회사 반도체 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136585A1 (en) * 2003-12-23 2005-06-23 Chau Robert S. Method of fabricating semiconductor devices with replacement, coaxial gate structure
US20060240622A1 (en) * 2005-04-21 2006-10-26 Samsung Electronics Co., Ltd. Multi-channel semiconductor device and method of manufacturing the same
CN1855390A (zh) * 2005-03-24 2006-11-01 三星电子株式会社 具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
CN101156248A (zh) * 2005-04-13 2008-04-02 法国原子能委员会 用于制造设有一或多根量子导线以能形成一个或多个晶体管通道的微电子装置的结构和方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150535A (ja) * 1998-11-09 2000-05-30 Fujitsu Quantum Device Kk 電界効果トランジスタとその製造方法
JP4310399B2 (ja) * 2006-12-08 2009-08-05 株式会社東芝 半導体装置及びその製造方法
CN101556922B (zh) * 2008-04-10 2010-07-28 北京大学 一种纳米环栅mosfet晶体管及其制备方法
KR101471858B1 (ko) * 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
JP4724231B2 (ja) * 2009-01-29 2011-07-13 株式会社東芝 半導体装置およびその製造方法
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
FR2950481B1 (fr) * 2009-09-18 2011-10-28 Commissariat Energie Atomique Realisation d'un dispositif microelectronique comprenant des nano-fils de silicium et de germanium integres sur un meme substrat
CN102034863B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法
CN101719498B (zh) * 2009-12-01 2011-09-07 中国科学院上海微系统与信息技术研究所 混合材料反型模式圆柱体全包围栅cmos场效应晶体管
US8455334B2 (en) 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8183104B2 (en) * 2010-07-07 2012-05-22 Hobbs Christopher C Method for dual-channel nanowire FET device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136585A1 (en) * 2003-12-23 2005-06-23 Chau Robert S. Method of fabricating semiconductor devices with replacement, coaxial gate structure
CN1855390A (zh) * 2005-03-24 2006-11-01 三星电子株式会社 具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法
CN101156248A (zh) * 2005-04-13 2008-04-02 法国原子能委员会 用于制造设有一或多根量子导线以能形成一个或多个晶体管通道的微电子装置的结构和方法
US20060240622A1 (en) * 2005-04-21 2006-10-26 Samsung Electronics Co., Ltd. Multi-channel semiconductor device and method of manufacturing the same
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105679827B (zh) * 2014-12-05 2020-11-17 三星电子株式会社 包括堆叠的纳米片场效应晶体管的装置
CN105679827A (zh) * 2014-12-05 2016-06-15 三星电子株式会社 包括堆叠的纳米片场效应晶体管的装置
CN105762190A (zh) * 2014-12-19 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
CN105762190B (zh) * 2014-12-19 2019-04-19 中国科学院微电子研究所 半导体器件及其制造方法
CN104695020B (zh) * 2015-03-05 2017-03-01 湖南大学 一种条状InAs纳米带及其制备和应用
CN104695020A (zh) * 2015-03-05 2015-06-10 湖南大学 一种条状InAs纳米带及其制备和应用
CN106024582A (zh) * 2015-03-30 2016-10-12 国际商业机器公司 使用公共释放材料形成多堆叠纳米线
CN106024582B (zh) * 2015-03-30 2019-09-17 国际商业机器公司 使用公共释放材料形成多堆叠纳米线
CN106158859A (zh) * 2015-04-09 2016-11-23 中国科学院微电子研究所 一种半导体器件及其制造方法
CN106158859B (zh) * 2015-04-09 2019-07-12 中国科学院微电子研究所 一种半导体器件及其制造方法
US10930766B2 (en) 2015-06-27 2021-02-23 Intel Corporation Ge NANO wire transistor with GAAS as the sacrificial layer
CN107690704A (zh) * 2015-06-27 2018-02-13 英特尔公司 具有GAAS作为牺牲层的Ge纳米线晶体管
CN108028275A (zh) * 2015-09-25 2018-05-11 英特尔公司 纳米线晶体管设备架构
CN108701653A (zh) * 2016-02-25 2018-10-23 株式会社索思未来 半导体集成电路装置
CN108701653B (zh) * 2016-02-25 2022-07-29 株式会社索思未来 半导体集成电路装置
CN108604601A (zh) * 2016-03-11 2018-09-28 英特尔公司 使用牺牲性iv族材料层形成包括iii-v族材料纳米线的晶体管的技术
CN109643725B (zh) * 2016-08-08 2022-07-29 东京毅力科创株式会社 三维半导体器件及制造方法
CN109643725A (zh) * 2016-08-08 2019-04-16 东京毅力科创株式会社 三维半导体器件及制造方法
CN108231582A (zh) * 2016-12-14 2018-06-29 台湾积体电路制造股份有限公司 用以蚀刻半导体材料的方法
CN108231582B (zh) * 2016-12-14 2021-12-24 台湾积体电路制造股份有限公司 用以蚀刻半导体材料的方法
CN108305897A (zh) * 2017-01-11 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN108695378A (zh) * 2017-04-12 2018-10-23 三星电子株式会社 半导体装置
CN109119420A (zh) * 2017-06-23 2019-01-01 三星电子株式会社 半导体器件及其制造方法
CN109119420B (zh) * 2017-06-23 2023-12-05 三星电子株式会社 半导体器件及其制造方法
CN109427588A (zh) * 2017-08-31 2019-03-05 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN109427588B (zh) * 2017-08-31 2021-12-24 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
US11776852B2 (en) 2017-09-29 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN109585555B (zh) * 2017-09-29 2022-05-03 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN109585555A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN111542923A (zh) * 2017-12-04 2020-08-14 东京毅力科创株式会社 具有堆叠栅极的半导体器件及其制造方法
CN108172546B (zh) * 2017-12-22 2020-06-23 中国科学院微电子研究所 一种cmos纳米线及其制造方法
CN108172546A (zh) * 2017-12-22 2018-06-15 中国科学院微电子研究所 一种cmos纳米线及其制造方法
CN111699550B (zh) * 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
CN111699550A (zh) * 2018-03-19 2020-09-22 东京毅力科创株式会社 三维器件及其形成方法
CN110649023B (zh) * 2018-06-26 2022-04-19 台湾积体电路制造股份有限公司 集成电路及其制造方法
CN110649023A (zh) * 2018-06-26 2020-01-03 台湾积体电路制造股份有限公司 集成电路
US11855141B2 (en) 2018-06-26 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
CN110660802A (zh) * 2018-06-29 2020-01-07 三星电子株式会社 半导体器件
CN110660802B (zh) * 2018-06-29 2024-03-01 三星电子株式会社 半导体器件
CN113809015A (zh) * 2020-06-17 2021-12-17 台湾积体电路制造股份有限公司 用于功函数工程的三层高k栅极介电堆叠
CN113809015B (zh) * 2020-06-17 2023-09-22 台湾积体电路制造股份有限公司 用于功函数工程的三层高k栅极介电堆叠
CN114038901A (zh) * 2020-10-22 2022-02-11 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN112768514A (zh) * 2021-01-19 2021-05-07 泉芯集成电路制造(济南)有限公司 全环绕闸极垂直贯穿式晶体管及其制备方法
CN114628523A (zh) * 2022-01-25 2022-06-14 深圳大学 一种基于氮化镓的cmos场效应晶体管及制备方法

Also Published As

Publication number Publication date
US20170229354A1 (en) 2017-08-10
US9123567B2 (en) 2015-09-01
US20130270512A1 (en) 2013-10-17
CN106847814B (zh) 2020-12-08
CN103999226B (zh) 2017-02-15
TW201403792A (zh) 2014-01-16
WO2013095341A1 (en) 2013-06-27
DE112011105970T5 (de) 2014-09-25
US20190229022A1 (en) 2019-07-25
TWI502729B (zh) 2015-10-01
US20150325481A1 (en) 2015-11-12
US10784170B2 (en) 2020-09-22
US10319646B2 (en) 2019-06-11
DE112011105970B4 (de) 2020-12-03
CN106847814A (zh) 2017-06-13
US9666492B2 (en) 2017-05-30

Similar Documents

Publication Publication Date Title
CN103999226B (zh) 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
TWI715671B (zh) 具有嵌入式介電質間隔物的奈米線電晶體
US11799037B2 (en) Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
CN103999216B (zh) 用于集成有功率管理和射频电路的片上系统(soc)结构的iii族‑n晶体管
TWI723019B (zh) 在具有不同通道材料的相同晶粒上形成電晶體之技術
CN108054084B (zh) 纳米尺度模板结构上的ⅲ族-n晶体管
CN104011868B (zh) Ⅲ族‑n纳米线晶体管
US20230207700A1 (en) Integrated circuit structures having partitioned source or drain contact structures
CN106170868B (zh) 用于制造垂直半导体器件的深宽比捕获(art)
US11329162B2 (en) Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
US11081570B2 (en) Transistors with lattice matched gate structure
US20220310600A1 (en) Semiconductor nanowire device having (111)-plane channel sidewalls
US20220415880A1 (en) Substrate-less diode, bipolar and feedthrough integrated circuit structures
US20240006317A1 (en) Integrated circuit structures having vertical keeper or power gate for backside power delivery
US20240006483A1 (en) Integrated circuit structures having raised epitaxy on channel transistor
US20240006531A1 (en) Integrated circuit structures having vertical transistor
US20240006412A1 (en) Integrated circuit structures having recessed channel transistor
US20220415925A1 (en) Substrate-less lateral diode integrated circuit structures
US20240006305A1 (en) Integrated circuit structures having airgaps for backside signal routing or power delivery
US20220416022A1 (en) Substrate-less nanowire-based lateral diode integrated circuit structures
TW202226598A (zh) 具有鍺擴散奈米帶通道結構的環繞式閘極積體電路結構
US20200279910A1 (en) Reducing off-state leakage in semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant