DE112011106004B4 - Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur - Google Patents

Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur Download PDF

Info

Publication number
DE112011106004B4
DE112011106004B4 DE112011106004.1T DE112011106004T DE112011106004B4 DE 112011106004 B4 DE112011106004 B4 DE 112011106004B4 DE 112011106004 T DE112011106004 T DE 112011106004T DE 112011106004 B4 DE112011106004 B4 DE 112011106004B4
Authority
DE
Germany
Prior art keywords
nanowire
discrete
channel region
silicon
drain regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112011106004.1T
Other languages
English (en)
Other versions
DE112011106004T5 (de
Inventor
Seiyon Kim
Kelin J. Kuhn
Tahir Ghani
Anand S. Murthy
Annalisa Cappellani
Stephen M. Cea
Rafael Rios
Glenn A. Glass
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112011106004T5 publication Critical patent/DE112011106004T5/de
Application granted granted Critical
Publication of DE112011106004B4 publication Critical patent/DE112011106004B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Halbleiterstruktur, umfassend:
eine erste Halbleitervorrichtung, umfassend:
einen ersten Nanodraht, der über einem Substrat angeordnet ist, wobei der erste Nanodraht einen Mittelpunkt in einem ersten Abstand über dem Substrat aufweist und eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei die Quellen- und Senkenregionen des ersten Nanodrahtes diskret sind;
ein erstes Kontaktpaar, das die diskreten Quellen- und Senkenregionen des ersten Nanodrahtes völlig umgibt; und
einen ersten Gate-Elektrodenstapel, der die diskrete Kanalregion des ersten Nanodrahtes völlig umgibt; und
eine zweite Halbleitervorrichtung, umfassend:
einen zweiten Nanodraht, der über dem Substrat angeordnet ist, wobei der zweite Nanodraht einen Mittelpunkt in einem zweiten Abstand über dem Substrat aufweist und eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei der erste Abstand von dem zweiten Abstand verschieden ist, wobei die Quellen- und Senkenregionen des zweiten Nanodrahtes diskret sind;
ein zweites Kontaktpaar, das die diskreten Quellen- und Senkenregionen des zweiten Nanodrahtes völlig umgibt; und
einen zweiten Gate-Elektrodenstapel, der die diskrete Kanalregion des zweiten Nanodrahtes völlig umgibt, wobei der erste Nanodraht im Wesentlichen aus einem Material besteht, das aus der Gruppe ausgewählt ist, die aus ...

Description

  • TECHNISCHES GEBIET
  • Die Ausführungsformen der Erfindung befinden sich auf dem Gebiet der Nanodraht-Halbleitervorrichtungen und insbesondere der komplementären Metall-Oxid-Halbleiter-(CMOS)-Nanodrahtstrukturen.
  • HINTERGRUND
  • In den vergangenen Jahrzehnten war die Skalierung von Merkmalen in integrierten Schaltungen eine treibende Kraft hinter einer ständig wachsenden Halbleiterindustrie. Die Skalierung auf immer kleinere Merkmalen ermöglicht erhöhte Dichten funktioneller Einheiten auf der begrenzten Fläche von Halbleiterchips. So ermöglicht die schrumpfende Größe von Transistoren beispielsweise die Einbeziehung einer erhöhten Anzahl von Speichervorrichtungen auf einem Chip, was zur Herstellung von Produkten mit erhöhter Leistungsfähigkeit führt. Der Antrieb zu ständig mehr Leistungsfähigkeit ist jedoch nicht problemlos. Die Notwendigkeit, die Leistungsfähigkeit jeder Vorrichtung zu optimieren, gewinnt zunehmend an Bedeutung. Die Beibehaltung von Beweglichkeitsverbesserung und Kurzkanalsteuerung, während die Abmessungen mikroelektronischer Vorrichtungen die Größenordnung von 15 Nanometern (nm) unterschreiten, stellt eine Herausforderung bei der Herstellung von Vorrichtungen dar. Nanodrähte, die zur Herstellung von Vorrichtungen benutzt werden, sorgen für verbesserte Kurzkanalsteuerung. Nanodraht-Kanalstrukturen aus Siliciumgermanium (SixGe1-x) (wobei x < 0,5) sorgen beispielsweise für eine Beweglichkeitsverbesserung bei beachtlichem Eg, das zur Benutzung in vielen herkömmlichen Produkten geeignet ist, in denen ein Betrieb mit höherer Spannung verwendet wird. Zudem sorgen Nanodrahtkanäle aus Siliciumgermanium (SixGe1-x, wobei x > 0,5) für verbesserte Beweglichkeit bei geringeren Egs (beispielsweise geeignet für Niederspannungsprodukte in der Domäne der Mobil/Handgeräte).
  • Mit vielen unterschiedlichen Techniken ist versucht worden, die Beweglichkeit von Transistoren zu verbessern. Jedoch sind auf dem Gebiet der Elektron- und/oder Lochbeweglichkeit bei Halbleitervorrichtungen noch wesentliche Verbesserungen notwendig. Die US 2011/0254099 A1 offenbart eine GAA(Gate all around)-CMOSFET-Vorrichtung mit einer Gate-Region, die Kanalregionen umgibt. Es sind dort Kontakte zu Quellen- und Senkenregionen der Vorrichtung hergestellt. Aus der US 2011/0278544 A1 ergibt sich ein Feldeffekttransistor mit mehreren Durchmessern. Insbesondere offenbart sie einen umgestalteten (reshaped) Nanodraht mit einer Gate-Struktur, wobei die umgestalteten Nanodrähte von einer Gate-Struktur umgeben sind. SOI-Pads liefern Quellen- und Senkenregionen. Die US 2011/0018065 A1 offenbart eine Halbleitervorrichtung mit einer Kanalregion mit einer zylindrischen Gestalt. Insbesondere ergibt sich daraus eine Vorrichtung mit einer Quellenstruktur, einer Kanalstruktur und einer Senkenstruktur.
  • KURZDARSTELLUNG
  • Ausführungsformen der vorliegenden Erfindung beinhalten komplementäre Metall-Oxid-Halbleiter-(CMOS)-Nanodrahtstrukturen. Die vorliegende Erfindung liefert Halbleiterstrukturen nach Anspruch 1 und nach Anspruch 7. Weiterhin liefert die Erfindung ein Verfahren nach Anspruch 7. Die jeweiligen Unteransprüche betreffen jeweilige vorteilhafte Weiterentwicklungen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1A veranschaulicht eine dreidimensionale Querschnittsansicht einer Nanodraht-basierten Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 1B veranschaulicht eine Kanalansicht im Querschnitt der Nanodraht-basierten Halbleiterstruktur von 1A, betrachtet längs der Achse a-a', gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 1C veranschaulicht eine Abstandhalteransicht im Querschnitt der Nanodraht-basierten Halbleiterstruktur von 1A, betrachtet längs der Achse b-b', gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 2 veranschaulicht eine Querschnittsansicht einer CMOS-Nanodraht-basierten Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 3A bis 3F veranschaulichen dreidimensionale Querschnittsansichten, die verschiedene Arbeitsvorgänge in einem Verfahren zur Herstellung einer CMOS-Nanodraht-Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung darstellen.
  • 4 veranschaulicht eine dreidimensionale Querschnittsansicht einer anderen CMOS-Nanodraht-basierten Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 5A und 5B veranschaulichen Querschnittsansichten, die verschiedene Arbeitsvorgänge in einem Verfahren zur Herstellung einer anderen CMOS-Nanodraht-Halbleiterstruktur gemäß einer anderen Ausführungsform der vorliegenden Erfindung darstellen.
  • 6 veranschaulicht Querschnittsansichten, die mehrere Vorgehensweisen zum Bilden einer Mantelschicht auf einem Nanodraht in der Herstellung einer CMOS-Nanodrahtstruktur gemäß einer Ausführungsform der vorliegenden Erfindung veranschaulichen.
  • 7 veranschaulicht ein EDV-Gerät.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es werden komplementäre Metall-Oxid-Halbleiter-(CMOS)-Nanodrahtstrukturen beschrieben. In der folgenden Beschreibung werden zahlreiche spezifische Einzelheiten, wie z. B. spezifische Nanodrahtintegration und Materialsysteme, dargelegt, um für ein gründliches Verständnis von Ausführungsformen der vorliegenden Erfindung zu sorgen. Dem Fachmann ist offensichtlich, dass Ausführungsformen der vorliegenden Erfindung ohne diese spezifischen Einzelheiten verwirklicht werden können. In anderen Fällen werden gut bekannte Merkmale, wie z. B. die konstruktive Auslegung von integrierten Schaltungen, nicht in Einzelheiten beschrieben, um Ausführungsformen der vorliegenden Erfindung nicht unnötigerweise unklar zu machen. Zudem versteht es sich, dass die verschiedenen in den Fig. gezeigten Ausführungsformen veranschaulichende Darstellungen und nicht zwangsläufig maßstabgerecht gezeichnet sind. Eine oder mehrere Ausführungsformen der vorliegenden Erfindung sind auf integrierte Gate-All-Around-Nanodraht-CMOS-Strukturen unter Verwendung unabhängiger Kanalmaterialien für NMOS und PMOS gerichtet. Hierin sind Vorgehensweisen hochleistungsfähiger, leckstromarmer CMOS-Transistortechnologie beschrieben. In einem Beispiel werden unterschiedliche Kanalmaterialien für NMOS/PMOS, ausgehend von einem gemeinsamen mehrschichtigen Epi-Stapel, verwendet. In einem anderen Beispiel können unabhängig optimierte Kanalmaterialien durch Aufwachsen einer Mantel-Epi-Schicht auf Backbone-Drähten für die Bildung von Kanälen mit höherer Elektron- und Lochbeweglichkeit sorgen. Hierin wird die Schwierigkeit angegangen, die Elektron- und Lochbeweglichkeit gleichzeitig zu erhöhen, wenn sowohl für NMOS als auch für PMOS das gleiche Kanalmaterial benutzt wird. Die Leistungsfähigkeit von Vorrichtungen kann durch Benutzen von Streckungslösungen, Kanalmaterialien mit höherer Beweglichkeit oder Kanalausrichtungen mit höherer Beweglichkeit gesteigert werden. Gegenwärtige Denungslösungen sind beispielsweise eingebettete SiGe (e-SiGe), eingebettete Si-C (e-SiC), Spannungserinnerung, Kontaktätzstoppschichten (CESL) SiGe, Ge und III-V, unterschiedliche Ausrichtungen und verschiedene gestreckte Si auf SiGe (oder umgekehrt) sind ebenfalls untersucht worden. In einer Ausführungsform wird, anstatt Epitaxialfilme separat für NMOS und PMOS aufwachsen zu lassen oder eine separate eingebettete Streckungsschicht einzubeziehen, eine Epitaxial-Mehrschichtstruktur (Supergitter) hergestellt und nachfolgend unter Benutzen eines ersten Abschnitts für NMOS- und eines zweiten Abschnitts für PMOS-Nanodrahtvorrichtungen zerlegt. Das Aufwachsenlassen dicker gestreckter Schichten ist auf Grund von Problemen der Streckungsrelaxation, insbesondere bei größer werdenden Rippengeometrien, schwierig. Die Streckungsrelaxation kann übermäßige Defekte in der Epi-Schicht verursachen und Verhalten, Leistung und Zuverlässigkeit von Vorrichtungen verschlechtern. Während die Benutzung eines Supergitters (beispielsweise Si/SiGe) eine bekannte Lösung des Problems der Herstellung gut gesteuerter gestreckter Schichten aus unterschiedlichen Materialien ist, wird in einer Ausführungsform zuerst ein Supergitter erzeugt und anschließend aufgeteilt, um die Beweglichkeit für NMOS bzw. PMOS zu maximieren. Eine Nanodraht/Nanostreifen-Struktur kann durch selektives Ätzen von Opferschichten aus mehrschichtigen Epitaxialstapeln gebildet werden. Die Epitaxialschichten können als ein Kanal benutzt werden oder selektiv entfernt werden, um einen Spalt für eine All-Around-Gate-Struktur zu bilden. Die Isolierschicht unter Epitaxialdrähten kann elektrische Isolierung bereitstellen und einen Bodenspalt für ein All-Around-Gate bilden. Beim einfachsten CMOS-Integrationsschema werden N/PMOS-Kanäle eingesetzt, die aus dem gleichen Material hergestellt wurden. Das Verfahren ist darin einfacher, dass in ihm eine einzige selektive Ätzung eingesetzt wird. Jedoch kann die Streckungstechnologie erforderlich sein, um die Leistungsfähigkeit von Vorrichtungen zu verstärken. Als beispielsweise Silicium als Kanalmaterial benutzt wurde, wird PMOS durch Druckspannung verbessert und NMOS wird durch eine Zugspannung längs der Kanalrichtung verbessert, um die Trägerbeweglichkeit zu verbessern. Gemäß einer Ausführungsform der vorliegenden Erfindung werden die einzigartigen Merkmale eines Ausgangsmaterialstapels ausgenutzt, um unterschiedliche NMOS- und PMOS-Kanalmaterialien einzubeziehen, die auf größere Beweglichkeit optimiert sind. Beispielsweise wird in einer Ausführungsform eine Opferschicht einer NMOS-Vorrichtung als ein PMOS-Kanal benutzt und eine Opferschicht einer PMOS-Vorrichtung als ein NMOS-Kanal benutzt. Da die Opferschicht während der Verarbeitung entfernt werden kann, ist eine unabhängige Wahl von Kanalmaterialien und Optimierung möglich. Eine oder mehrere Ausführungsformen der vorliegenden Erfindung sind für die Verbesserung der Kanalbeweglichkeit für NMOS- oder PMOS-Transistoren oder beide konzipiert. Die Beweglichkeit kann unter Benutzen von Streckung, z. B. in der Kanalregion, verbessert werden. Daher stellen ein oder mehrere hierin beschriebene Vorgehensweisen die zweckmäßige Streckung in den Kanalregionen sowohl für NMOS- als auch für PMOS-Transistoren bereit. In einer Ausführungsform sind gestreckte NMOS- und PMOS-Nanodrähte bereitgestellt. Unter einem ersten Gesichtspunkt veranschaulicht 1A eine dreidimensionale Querschnittsansicht einer Nanodraht-basierten Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung. 1B veranschaulicht eine Kanalansicht im Querschnitt der Nanodraht-basierten Halbleiterstruktur von 1A, betrachtet längs der Achse a-a'. 1C veranschaulicht eine Abstandhalteransicht im Querschnitt der Nanodraht-basierten Halbleiterstruktur von 1A, betrachtet längs der Achse b-b'. Es wird Bezug auf 1A genommen, worin eine Halbleitervorrichtung 100 einen oder mehrere senkrecht gestapelte Nanodrähte (Satz 104) beinhaltet, die über einem Substrat 102 angeordnet sind. Ausführungsformen hierin zielen sowohl auf Vorrichtungen mit einem einzigen Draht als auch auf Vorrichtungen mit mehreren Drähten ab. Als ein Beispiel ist eine auf drei Nanodrähten basierte Vorrichtung, die Nanodraht 104A, 104B und 104C aufweist, zu Zwecken der Veranschaulichung gezeigt. Zur zweckmäßigen Beschreibung wird Nanodraht 104A als ein Beispiel benutzt, wenn die Beschreibung nur auf einen der Nanodrähte fokussiert ist. Es versteht sich, dass, wenn Eigenschaften eines Nanodrahtes beschrieben werden, Ausführungsformen, die auf mehreren Nanodrähten basiert sind, die gleichen Eigenschaften für jeden der Nanodrähte aufweisen können. Jeder der Nanodrähte 104 beinhaltet eine Kanalregion 106, die in dem Nanodraht angeordnet ist. Die Kanalregion 106 weist eine Länge (L) auf. Es wird auf 1B Bezug genommen, worin die Kanalregion auch einen Umfang im rechten Winkel zu der Länge (L) aufweist. Es wird sowohl auf 1A als auch 1B Bezug genommen, worin ein Gate-Elektrodenstapel 108 den gesamten Umfang einer jeden der Kanalregionen 106 umgibt. Der Gate-Elektrodenstapel 108 beinhaltet eine Gate-Elektrode zusammen mit einer dielektrischen Gate-Schicht, die zwischen der Kanalregion 106 und der Gate-Elektrode (als gestrichelte Linien gezeigt, die die Kanalregionen 106 in 1B umgeben) angeordnet ist. Die Kanalregion 106 ist insofern diskret, dass sie völlig von dem Gate-Elektrodenstapel 108 umgeben ist. Das heißt, dass dort, wo der Gate-Elektrodenstapel 108 die Kanalregion 106 umgibt, jegliches Zwischenmaterial, wie z. B. darunterliegendes Substratmaterial oder darüberliegendes Kanalherstellungsmaterial, entfernt wurde. Dementsprechend sind in Ausführungsformen, die mehrere Nanodrähte 104 aufweisen, die Kanalregionen 106 der Nanodrähte in Bezug aufeinander ebenfalls diskret, wie in 1B abgebildet. Es wird wieder Bezug auf 1A genommen, worin jeder der Nanodrähte 104 auch eine Quellen- und eine Senkenregion 110 und 112 beinhaltet, die in dem Nanodraht zu beiden Seiten der Kanalregion 104 angeordnet sind. Ein Kontaktpaar 114 ist über den Quellen/Senkenregionen 110/112 angeordnet und umgibt die diskreten Quellen/Senkenregionen eines Nanodrahtes völlig. In einer spezifischen Ausführungsform umgibt das Kontaktpaar 114 den gesamten Umfang jeder der Quellen/Senkenregionen 110/112, wie in 1A abgebildet. Das heißt, in einer Ausführungsform sind alle Quellen/Senkenregionen 110/112 insofern diskret, dass sie ohne jegliches Zwischenmaterial, wie z. B. darunterliegendes Substratmaterial oder darüberliegende Kanalherstellungsmaterialien, von den Kontakten 114 völlig umgeben sind. Dementsprechend sind in solch einer Ausführungsform, die mehrere Nanodrähte 104 aufweist, die Quellen/Senkenregionen 110/112 der Nanodrähte auch in Bezug aufeinander diskret. Es wird wieder auf 1A Bezug genommen, worin in einer Ausführungsform die Halbleitervorrichtung 100 ferner ein Abstandhalterpaar 116 beinhaltet. Die Abstandhalter 116 sind zwischen dem Gate-Elektrodenstapel 108 und dem Kontaktpaar 114 angeordnet. Wie oben beschrieben, sind die Kanalregionen und die Quellen/Senkenregionen so hergestellt, dass sie diskret sind. Jedoch nicht alle Bereiche der Nanodrähte 104 brauchen diskret zu sein. Unter Bezugnahme auf 1C sind beispielsweise die Nanodrähte 104A bis 104C an dem Ort unter den Abstandhaltern 116 nicht diskret. In einer Ausführungsform weist der Stapel von Nanodrähten 104A bis 104C Halbleiterzwischenmaterial 118 auf, wie z. B. Siliciumgermanium, das zwischen Silicium-Nanodrähten liegt, oder umgekehrt, wie unten in Verbindung mit 3A bis 3F beschrieben. In einer Ausführungsform ist der untere Nanodraht 104A noch in Kontakt mit einem Abschnitt von Substrat 102, z. B. in Kontakt mit einem isolierenden Schichtabschnitt, der auf einem Bulk-Substrat angeordnet ist. Somit ist in einer Ausführungsform ein Abschnitt der mehreren senkrecht gestapelten Nanodrähte unter einem oder beiden von den Abstandhaltern nicht diskret. Obwohl die oben beschriebene Vorrichtung 100 für eine einzelne Vorrichtung ist, z. B. eine NMOS- oder eine PMOS-Vorrichtung, kann eine CMOS-Architektur auch so gebildet werden, dass sie sowohl NMOS- als auch PMOS-Nanodraht-basierte Vorrichtungen beinhaltet, die auf oder über demselben Substrat angeordnet sind. So veranschaulicht 2 beispielsweise eine Querschnittsansicht einer Nanodraht-basierten CMOS-Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung.
  • Es wird auf 2 Bezug genommen, worin eine Halbleiterstruktur 200 eine erste Halbleitervorrichtung 200A beinhaltet. Die erste Halbleitervorrichtung 200A beinhaltet einen ersten Nanodraht (z. B. den alleruntersten Nanodraht 204A des Nanodrahtstapels 204), der über einem Substrat 202 angeordnet ist. Der erste Nanodraht 204A weist einen Mittelpunkt (M1) in einem ersten Abstand (d1) über dem Substrat 202 auf. Ein erster Gate-Elektrodenstapel (nicht gezeigt) kann so gebildet sein, dass er den ersten Nanodraht 204A völlig umgibt. Das heißt, sobald der Gate-Stapel einbezogen ist, weist der erste Nanodraht 204A eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion auf. Die Halbleiterstruktur 200 beinhaltet auch eine zweite Halbleitervorrichtung 200B. Die zweite Halbleitervorrichtung 200B beinhaltet einen zweiten Nanodraht (z. B. den alleruntersten Nanodraht 205A des Nanodrahtstapels 205), der über dem Substrat 202 angeordnet ist. Der zweite Nanodraht 205A weist einen Mittelpunkt (M2) in einem zweiten Abstand (d2) über dem Substrat 202 auf. Ein zweiter Gate-Elektrodenstapel (nicht gezeigt) kann so gebildet sein, dass er den zweiten Nanodraht 205A völlig umgibt. Das heißt, sobald der zweite Gate-Stapel einbezogen ist, weist der zweite Nanodraht 205A eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion auf. Es wird erneut auf 2 Bezug genommen, worin der erste Abstand (d1) sich von dem zweiten Abstand (d2) unterscheidet. Das heißt, die Mittelpunkte M1 und M2 der Vorrichtungen 200A und 200B sind nicht ausgerichtet. Stattdessen sind die Mittelpunkte in einer Ausführungsform versetzt und, wenn Stapel aus mehreren Drähten (z. B. 204 und 205) gebildet sind, sind die Drähte bei jeder Vorrichtung 200A und 200B in Bezug aufeinander versetzt. Es versteht sich, dass die gestrichelte Linie in 2 einen verhältnismäßig kleinen oder einen ziemlich großen Zwischenraum zwischen Vorrichtungen 200A und 200B auf einem gemeinsamen Substrat 202 darstellen kann. In einer Ausführungsform isoliert eine Isolierungsschicht 206, wie z. B. eine Oxidschicht, die Nanodrähte 204 und 205 vom Substrat 202 isoliert, wie in 2 abgebildet. Der erste Nanodraht besteht aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid oder mit Kohlenstoff dotiertem Siliciumgermanium, und der zweite Nanodraht besteht aus einem anderen Material, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100) und mit Kohlenstoff dotiertem Siliciumgermanium besteht. In einer solchen Ausführungsform ist die erste Halbleitervorrichtung eine NMOS-Vorrichtung und die zweite Halbleitervorrichtung eine PMOS-Vorrichtung. In einer Ausführungsform kann eine beliebige geeignete Kombination der aufgeführten Materialien verwendet sein, indem der Nutzen für die Beweglichkeit unterschiedlicher Trägertypen, z. B. Elektronen oder Löcher, in Betracht gezogen wird. In einer Ausführungsform sind der erste und der zweite Nanodraht über einem kristallinen Bulk-Substrat angeordnet, das eine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist. Die dielektrische Zwischenschicht kann z. B. durch Under-Fin-Oxidation (UFO), Bildung von vergrabenem Oxid (BOX) oder Ersatzdielektrika hergestellt sein. In einer Ausführungsform sind der erste und der zweite Nanodraht über einem kristallinen Bulk-Substrat angeordnet, das keine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist. In einer anderen Ausführungsform wird eine SiGe/Si-Pufferschicht verwendet. Die Quellen- und Senkenregionen von jedem des ersten und des zweiten Nanodrahtes sind diskret. Die erste Halbleitervorrichtung beinhaltet ferner ein erstes Kontaktpaar, die die diskreten Quellen- und Senkenregionen des ersten Nanodrahtes völlig umgeben, und die zweite Halbleitervorrichtung beinhaltet ferner ein zweites Kontaktpaar, die die diskreten Quellen- und Senkenregionen des zweiten Nanodrahtes völlig umgeben. In einer solchen Ausführungsform ist ein erstes Abstandhalterpaar zwischen dem ersten Gate-Elektrodenstapel und dem ersten Kontaktpaar angeordnet und ein zweites Abstandhalterpaar zwischen dem zweiten Gate-Elektrodenstapel und dem zweiten Kontaktpaar angeordnet. In einer spezifischen derartigen Ausführungsform ist ein Abschnitt von jedem von dem ersten und dem zweiten Nanodraht nicht diskret. In einer Ausführungsform beinhaltet die erste Halbleitervorrichtung ferner einen oder mehrere zusätzliche Nanodrähte, die mit dem ersten Nanodraht senkrecht gestapelt sind, und die zweite Halbleitervorrichtung beinhaltet ferner einen oder mehrere zusätzliche Nanodrähte, die senkrecht mit dem zweiten Nanodraht gestapelt sind. Unten sind allgemeinere Ausführungsform aufgeführt.
  • Es wird erneut auf 1A und 2 Bezug genommen, wobei das Substrat 102 oder 202 aus einem Material bestehen sein kann, das zur Herstellung von Halbleitervorrichtungen geeignet ist. In einer Ausführungsform beinhaltet das Substrat 102 oder 202 ein unteres Bulk-Substrat, das aus einem Einkristall aus einem Material besteht, das u. a. Silicium, Germanium, Siliciumgermanium oder ein III-V-Verbindung-Halbleitermaterial sein kann, jedoch nicht darauf beschränkt ist. Eine obere Isolatorschicht, die aus einem Material besteht, das u. a. Siliciumdioxid, Siliciumnitrid oder Siliciumoxynitrid sein kann, jedoch nicht darauf beschränkt ist, ist auf dem unteren Bulk-Substrat angeordnet. So kann die Struktur 100 oder 200 aus einem Anfangs-Halbleiter-auf-Isolator-Substrat hergestellt sein. Somit sind in einer Ausführungsform die mehreren senkrecht gestapelten Nanodrähte 104, 204 oder 205 über einem kristallinen Bulk-Substrat angeordnet, das eine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist, wie in 1A bis 1C und 2 abgebildet. Alternativ ist die Struktur 100 oder 200 direkt aus einem Bulk-Substrat gebildet, und lokale Oxdation ist benutzt, um elektrisch isolierende Abschnitte anstelle der oben beschriebenen oberen Isolatorschicht zu bilden. Somit sind in einer anderen Ausführungsform die mehreren senkrecht gestapelten Nanodrähte 104, 204 oder 205 über einem kristallinen Bulk-Substrat angeordnet, das keine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist. In einer Ausführungsform können die Nanodrähte 104, 204 oder 205 als Drähte oder Streifen (Letztere sind unten beschrieben) ausgelegt sein und kantige oder abgerundete Ecken aufweisen. In einer Ausführungsform bestehen die Nanodrähte 104, 204 oder 205 aus einem Material, wie z. B. Silicium, Germanium oder einer Kombination davon, sind jedoch aber nicht darauf beschränkt. In einer solchen Ausführungsform sind die Nanodrähte einkristallin. So kann für einen Silicium-Nanodraht beispielsweise ein einkristalliner Nanodraht auf einer globalen (100)-Ausrichtung, z. B. mit einer <100>-Ebene in der z-Richtung, basiert sein. In einer Ausführungsform sind die Abmessungen der Nanodrähte 104, 204 oder 205 von einer Querschnittsperspektive aus, wie in 1B gezeigt, im Nanobereich. In einer spezifischen Ausführungsform beträgt beispielsweise die kleinste Abmessung der Nanodrähte weniger als etwa 20 Nanometer. Gemäß einer Ausführungsform der vorliegenden Erfindung beinhalten der eine oder die mehreren Nanodrähte 104, 204 oder 205 der Halbleitervorrichtung 100 oder -struktur 200 einen oder mehrere einachsig gestreckte Nanodrähte. Der einachsig gestreckte Nanodraht bzw. die mehreren gestreckten Nanodrähte können mit einer Zugstreckung oder einer Stauchung, z. B. für NMOS bzw. PMOS, einachsig gestreckt sein. Die Breite und Höhe jeder der Kanalregionen 106 sind in 1B als etwa gleich gezeigt, brauchen es aber nicht zu sein. In einer anderen Ausführungsform (nicht gezeigt) ist beispielsweise die Breite der Nanodrähte 104 (oder 204 oder 205) wesentlich größer als die Höhe. In einer spezifischen Ausführungsform ist die Breite etwa 2 bis 10 Mal so groß wie die Höhe. Nanodrähte mit solch einer Geometrie können als Nanostreifen bezeichnet werden. In einer alternativen Ausführungsform (ebenfalls nicht gezeigt) sind die Nanostreifen senkrecht ausgerichtet. Das heißt, jeder der Nanodrähte 104 (oder 204 oder 205) weist eine Breite und eine Höhe auf, wobei die Breite wesentlich kleiner als die Höhe ist. In einer spezifischen Ausführungsform ist die Höhe etwa 2 bis 10 Mal so groß wie die Breite. In einer Ausführungsform, wieder unter Bezugnahme auf 1A, besteht die Gate-Elektrode von Gate-Elektrodenstapel 108 aus einem Metall-Gate und die dielektrische Gate-Schicht besteht aus einem Material mit hohem k-Wert. In einer Ausführungsform besteht beispielsweise die dielektrische Gate-Schicht aus einem Material, wie z. B. Hafniumoxid, Hafniumoxynitrid, Hafniumsilicat, Lanthanoxid, Zirkoniumoxid, Zirkoniumsilicat, Tantaloxid, Bariumstrontiumtitanat, Bariumtitanat, Strontiumtitanat, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid, Bleizinkniobat oder einer Kombination davon, ist jedoch nicht darauf beschränkt. Zudem kann ein Abschnitt der dielektrischen Gate-Schicht eine Schicht aus nativem Oxid beinhalten, die aus den wenigen oberen Schichten des Nanodrahtes 104 gebildet ist. In einer Ausführungsform besteht die dielektrische Gate-Schicht aus einem oberen Abschnitt mit hohem k-Wert und ein unterer Abschnit aus einem Oxid eines Halbleitermaterials. In einer Ausführungsform besteht die dielektrische Gate-Schicht aus einem oberen Abschnit aus Hafniumoxid und einem unteren Abschnit aus Siliciumdioxid oder Siliciumoxynitrid. In einer Ausführungsform besteht die Gate-Elektrode aus einer Metallschicht, wie z. B. Metallnitriden, Metallcarbiden, Metallsiliciden, Metallaluminiden, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Ruthenium, Palladium, Platin, Cobalt, Nickel oder leitfähigen Metalloxiden, ist jedoch nicht darauf beschränkt. In einer spezifischen Ausführungsform besteht die Gate-Elektrode aus einem die Austrittsarbeit nicht einstellendem Füllmaterial, das über einer die Austrittsarbeit einstellenden Metallschicht gebildet ist. In einer Ausführungsform bestehen die Abstandhalter 116 aus einem isolierenden dielektrischen Material, wie z. B. Siliciumdioxid, Siliciumoxynitrid oder Siliciumnitrid, sind jedoch nicht darauf beschränkt. Die Kontakte 114 sind in einer Ausführungsform aus einer Metallspezies gefertigt. Die Metallspezies kann ein reines Metall, wie z. B. Nickel oder Cobalt, oder eine Legierung, wie z. B. eine Metall-Metall-Legierung oder eine Metall-Halbleiter-Legierung (wie z. B. ein Silicidmaterial), sein. Es versteht sich, dass andere leitfähige Materialien benutzt werden können, um die Kontakte 114 zu bilden. Unter einem anderen Gesichtspunkt sind Verfahren zur Herstellung einer CMOS-Nanodraht-Halbleiterstruktur bereitgestellt. So veranschaulichen beispielsweise 3A bis 3F dreidimensionale Querschnittsansichten, die verschiedene Arbeitsvorgänge in einem Verfahren zur Herstellung einer CMOS-Nanodraht-Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung darstellen. Ein Verfahren zur Herstellung einer Nanodraht-Halbleiterstruktur kann in einer Ausführungsform das Bilden von sowohl einer PMOS-Nanodraht-basierten Halbleitervorrichtung als auch einer benachbarten NMOS-Nanodraht-basierten Halbleitervorrichtung beinhalten. Jede Vorrichtung kann durch Bilden eines Nanodrahtes über einem Substrat hergestellt werden. In einer spezifischen Ausführungsform, die letztendlich die Bildung von zwei Nanodrähten für jede der NMOS- und PMOS-Nanodraht-basierten Halbleitervorrichtungen bereitstellt, veranschaulicht 3A eine Anfangsstruktur 300, die ein Substrat 302 (z. B. aus einem Bulk-Substrat-Siliciumsubstrat 302A mit einer isolierenden Siliciumdioxidschicht 302B darauf) und einen Stapel aus einer Siliciumschicht 304/Siliciumgermanium-Schicht 306/Siliciumschicht 308/Siliciumgermanium-Schicht 310, der darauf angeordnet ist, aufweist. Andere Möglichkeiten für Materialien und spezifische Kombinationen sind in Verbindung mit 2 aufgeführt. In einer beispielhaften Ausführungsform ist die Struktur 300 durch Aufwachsen von Siliciumgermanium- und Siliciumschichten auf einem Ausgangs-Silicium-auf-Isolator-Wafer (dessen Siliciumabschnitt Schicht 304 ist) geschaffen.
  • Es wird auf 3B Bezug genommen, wobei ein Abschnitt des Stapels aus Siliciumschicht 304/Siliciumgermanium-Schicht 306/Siliciumschicht 308/Siliciumgermanium-Schicht 310 sowie ein oberer Abschnitt der Siliciumdioxidschicht 302B zu einer rippenartigen Struktur 312, z. B. mit einer Maske und einem Plasmaätzverfahren, strukturiert. So wird in einer Ausführungsform auf beiden Seiten jeder der Silicium- und der Siliciumgermanium-Schichten durch Strukturieren eine freie Oberfläche gebildet, um die rippenartige Struktur 312 zu schaffen. Es versteht sich, dass zum Bilden der Struktur 312 jedes geeignete Strukturierungsverfahren benutzt werden kann. In einem spezifischen Beispiel, das die Bildung von drei Gate-Strukturen zeigt, veranschaulicht 3C die rippenartige Struktur 312 mit den drei Opfer-Gates 314A, 314B und 314C, die darauf angeordnet sind. In einer solchen Ausführungsform bestehen die drei Opfer-Gates 314A, 314B und 314C aus einer Gate-Opferschicht aus Oxid 316 und einer Gate-Opferschicht aus Polysilicium 318, die z. B. ganzflächig aufgebracht und mittels eines Plasamätzverfahrens strukturiert sind. Im Anschluss an das Strukturieren zum Bilden der drei Opfer-Gates 314A, 314B und 314C können an den Seitenwänden der drei Opfer-Gates 314A, 314B und 314C Abstandhalter gebildet werden, in den Regionen 320 der rippenartigen Struktur 312, die in 3C gezeigt sind, kann Dotierung durchgeführt werden (z. B. Dotierung vom Spitzen- und/oder Quellen- und Senken-Typ), und eine dielektrische Zwischenschicht kann gebildet werden, um die drei Opfer-Gates 314A, 314B und 314C zu bedecken. Die dielektrische Zwischenschicht kann anschließend poliert werden, um die drei Opfer-Gates 314A, 314B und 314C für ein Replacement-Gate- oder Gate-Last-Verfahren wieder freizulegen. Es wird nun auf 3D Bezug genommen, worin die drei Opfer-Gates 314A, 314B und 314C zusammen mit den Abstandhaltern 322 und der dielektrischen Zwischenschicht 324 freigelegt sind. Die Opfer-Gates 314A, 314B und 314C können anschließend, z. B. in einem Replacement-Gate- oder Gate-Last-Verfahrensablauf, entfernt werden, um Kanalabschnitte der rippenartigen Struktur 312 freizulegen. Es wird auf den linken Abschnitt von 3E Bezug genommen, wobei in dem Fall, dass die rippenartige Struktur 312 benutzt wird, um eine NMOS-Vorrichtung herzustellen, die Opfer-Gates 314A, 314B und 314C entfernt werden, um die Gräben 326 zu schaffen.
  • Abschnitte der Siliciumgermanium-Schichten 306 und 310, die durch die Gräben 326 freigelegt sind, sowie freigelegte Abschnitte der isolierenden Siliciumdioxidschicht 302B werden entfernt, um diskrete Abschnitte der Siliciumschichten 304 und 308 zurückzulassen. Es wird auf den rechten Abschnitt von 3E Bezug genommen, wobei in dem Fall, dass die rippenartige Struktur 312 benutzt wird, um eine PMOS-Vorrichtung herzustellen, die Opfer-Gates 314A, 314B und 314C entfernt werden, um die Gräben 328 zu schaffen. Abschnitte der Siliciumschichten 304 und 308, die durch die Gräben 328 freigelegt sind, werden entfernt, um diskrete Abschnitte der Siliciumgermanium-Schichten 306 und 310 zurückzulassen. In einer Ausführungsform werden die Siliciumschichten 304 und 308 mittels einer Nassätzung selektiv geätzt, welche das Silicium 304, 308 selektiv entfernt, wohingegen es die Siliciumgermanium-Nanodrahtstrukturen 306 und 310 nicht ätzt. Solche Ätzchemie wie wässrige Hydroxidchemie einschließlich Ammoniumhydroxid und Kaliumhydroxid kann beispielsweise verwendet werden, um das Silicium selektiv zu ätzen. In einer anderen Ausführungsform werden die Siliciumgermanium-Schichten 306 und 310 mittels einer Nassätzung selektiv geätzt, die das Siliciumgermanium selektiv entfernt, wohingegen es die Silicium-Nanodrahtstrukturen 304 und 308 nicht ätzt. Solche Ätzchemie wie Carbonsäure/Salpetersäure/HF-Chemie und Citronensäure/Salpetersäure/HF beispielsweise kann verwendet werden, um das Siliciumgermanium selektiv zu ätzen. So können entweder die Siliciumschichten von der rippenartigen Struktur 312 entfernt werden, um Siliciumgermanium-Nanodrähte zu bilden, oder die Siliciumgermanium-Schichten von der rippenartigen Struktur 312 entfernt werden, um Siliciumkanal-Nanodrähte zu bilden. Die diskreten Abschnitte der Siliciumschichten 304 und 308 (NMOS) oder die Siliciumgermanium-Schichten (PMOS), die in 3E gezeigt sind, werden in einer Ausführungsform letztendlich zu Kanalregionen in einer Nanodraht-basierten Struktur. So kann in der Verfahrensstufe, die in 3E abgebildet ist, die technische Auslegung oder Abstimmung von Kanälen durchgeführt werden. So sind in einer Ausführungsform beispielsweise die diskreten Abschnitte der Siliciumschichten 304 und 308, die im linken Abschnitt von 3E gezeigt sind, oder die diskreten Abschnitte der Siliciumgermanium-Schichten 306 und 310, die in dem rechten Abschnitt von 3E gezeigt sind, unter Benutzen von Oxidations- und Ätzverfahren verdünnt. Solch ein Ätzverfahren kann zu derselben Zeit durchgeführt werden, zu der die Drähte durch Ätzen der gegenüberliegenden Silicium- oder Siliciumgermanium-Schichten getrennt werden. Demgemäß sind die Anfangsdrähte, die aus den Siliciumschichten 304 und 308 oder aus den Siliciumgermanium-Schichten 306 und 310 gebildet werden, zu Beginn dicker und werden auf eine Größe verdünnt, die für eine Kanalregion in einer Nanodrahtvorrichtung geeignet ist, unabhängig von der größenmäßigen Auslegung der Quellen- und Senkenregionen der Vorrichtung. Im Anschluss an die Bildung der diskreten Kanalregionen, wie in 3E abgebildet, kann die Verarbeitung des Gate-Dielektrikums mit hohem k-Wert und des Metall-Gates durchgeführt und die Quellen- und Senkenkontakte zugefügt werden, die die diskreten Quellen/Senkenregionen eines Nanodrahtes völlig umgeben. In dem spezifischen Beispiel, das die Bildung der drei Gate-Strukturen über zwei Silicium-Nanodrähten (NMOS) oder über zwei Siliciumgermanium-Nanodrähten (PMOS) zeigt, veranschaulicht 3F die Struktur im Anschluss an die Abscheidung eines NMOS-Gate-Stapels 330 oder eines PMOS-Gate-Stapels 332. Die Gate-Stapel können aus einer dielektrischen Gate-Schicht mit hohem k-Wert und einer n-leitenden bzw. p-leitenden Metall-Gate-Elektrodenschicht bestehen. Außerdem ist in 3F das Ergebnis der nachfolgenden Entfernung der dielektrischen Zwischenschicht 324 nach der Bildung des permanenten Gate-Stapels abgebildet. Anstelle der Abschnitte der dielektrischen Zwischenschicht 324, die in 3E noch erhalten sind, können Kontakte gebildet werden. In einer Ausführungsform kann in irgendeiner Stufe während des Verfahrens des Entfernens 324 und Bildens von Kontakten 334 auch die technische Auslegung von Quellen und Senken durchgeführt werden. So beinhaltet in vielleicht allgemeineren Ausdrücken in einer Ausführungsform ein Verfahren zur Herstellung einer Nanodraht-Halbleiterstruktur das Bilden einer ersten aktiven Schicht über einem Substrat. Die erste aktive Schicht weist eine erste Gitterkonstante auf. Anschließend wird auf der ersten aktiven Schicht eine zweite aktive Schicht gebildet. Die zweite aktive Schicht weist eine zweite Gitterkonstante auf, die größer als die erste Gitterkonstante ist. In einer solchen Ausführungsform besteht die erste aktive Schicht aus Silicium und die zweite aktive Schicht aus Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100). Die Anzahl aktiver Schichten könnte hier enden, d. h. für eine CMOS-Struktur mit einer Einzeldraht-PMOS-Vorrichtung und einer Einzeldraht-NMOS-Vorrichtung. Alternativ können, wie oben beispielhaft angegeben, zusätzliche erste und zweite aktive Schichten wiederholt werden, um letztendlich Vorrichtungen mit mehreren Drähten bereitzustellen. In einer Ausführungsform ist die erste aktive Schicht über einem kristallinen Bulk-Substrat gebildet, das eine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist. Die erste aktive Schicht ist auf der dielektrischen Zwischenschicht gebildet. In einer solchen Ausführungsform besteht die erste aktive Schicht aus Silicium. Das Verfahren beinhaltet anschließend das Bilden eines ersten Nanodrahtes aus der ersten aktiven Schicht. Der erste Nanodraht beinhaltet eine diskrete Kanalregion und diskrete Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion. Aus der zweiten aktiven Schicht wird ein zweiter Nanodraht gebildet. Der zweite Nanodraht beinhaltet eine diskrete Kanalregion und diskrete Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion. In einer Ausführungsform beinhaltet das Bilden des ersten Nanodrahtes aus der ersten aktiven Schicht das selektive Entfernen eines Abschnittes der zweiten aktiven Schicht. Indessen beinhaltet das Bilden des zweiten Nanodrahtes aus der zweiten aktiven Schicht das selektive Entfernen eines Abschnittes der ersten aktiven Schicht. Das Verfahren umfasst anschließend das Bilden eines ersten Gate-Elektrodenstapels, und zwar derart, dass er die diskrete Kanalregion des ersten Nanodrahtes völlig umgibt. Ein zweiter Gate-Elektrodenstapel wird gebildet, und zwar derart, dass er die diskrete Kanalregion des zweiten Nanodrahtes völlig umgibt. Nachfolgende Verarbeitungsvorgänge, wie z. B. die Kontaktbildung und die Back-End-Verbindungsbildung, können anschließend durchgeführt werden. In einer alternativen Ausführungsform sind Strukturen, die den oben beschriebenen Nanodrahtstrukturen ähnlich sind, auf Bulk-Wafern anstatt auf Silicium-auf-Isolator-Wafern hergestellt. So veranschaulicht 4 beispielsweise eine dreidimensionale Querschnittsansicht einer anderen CMOS-Nanodraht-basierten Halbleiterstruktur gemäß einer Ausführungsform der vorliegenden Erfindung. Es wird auf 4 Bezug genommen, worin ein Bulk-Substrat 412, wie z. B. ein Bulk-Siliciumsubstrat, teilweise zu einer Rippe 402 strukturiert wird und dazu verwendet wird, um eine Schablone für die Siliciumgermanium-Schichten 404 und 408 (PMOS) bereitzustellen, oder zusammen mit den Siliciumschichten 406 und 410 (NMOS) einbezogen wird. Das Substrat 412, auf dem die Rippe 402 unter Benutzen von entweder Dotieren (z. B. so, dass der untere Draht ein Omega-FET ist) oder einem nachgeschalteten Under-Fin-Oxidationsverfahren im Anschluss an die Rippenstrukturierung von den Drähten isoliert ist. Zwischen der ersten aktiven Schicht und der Pufferschicht des Bulk-Substrats ist keine globale dielektrische Zwischenschicht angeordnet. In einer spezifischen Ausführungsform sind sowohl Silicium-Nanodrähte als auch Siliciumgermanium-Nanodrähte hergestellt, z. B. in einer versetzten Weise, wie in 4 abgebildet. Unter einem zweiten Gesichtspunkt sind im Gegensatz zu den oben beschriebenen Ausführungsformen entsprechende NMOS- und PMOS-Nanodrähte einer CMOS-Struktur aus derselben Halbleiterschicht gebildet. So veranschaulichen beispielsweise 5A und 5B Querschnittsansichten, die verschiedene Arbeitsvorgänge in einem Verfahren zur Herstellung einer anderen CMOS-Nanodraht-Halbleiterstruktur gemäß einer anderen Ausführungsform der vorliegenden Erfindung darstellen. Es versteht sich, dass die Ausgangsstrukturen und relevanten Verarbeitungsparameter denjenigen, die im Zusammenhang mit 3A bis 3F beschrieben wurden, ähnlich oder gleich sind. Es wird auf 5A Bezug genommen, worin eine Halbleiterstruktur 500 eine erste Halbleiterregion 500A beinhaltet. Die erste Halbleiterregion 500A beinhaltet einen ersten Nanodraht (z. B. den unteren Nanodraht 504A des Nanodrahtstapels 504), der über einem Substrat 502 angeordnet ist. Der erste Nanodraht 504A weist einen Mittelpunkt (M1) in einem ersten Abstand (d1) über dem Substrat 502 auf. Die Halbleiterstruktur 500 beinhaltet auch eine zweite Halbleiterregion 500B. Die zweite Halbleiterreg 500B beinhaltet einen zweiten Nanodraht (z. B. den unteren Nanodraht 505A des Nanodrahtstapels 505), der über dem Substrat 502 angeordnet ist. Der zweite Nanodraht 505A weist einen Mittelpunkt (M2) in einem zweiten Abstand (d2) über dem Substrat 502 auf. Es wird wieder auf 5A Bezug genommen, worin der erste Abstand (d1) der gleiche wie der zweite Abstand (d2) ist. Das heißt, die Mittelpunkte M1 und M2 der Regionen 500A und 500B sind ausgerichtet. Somit sind in einer Ausführungsform, wenn Stapel aus mehreren Drähten (z. B. 504 und 505) gebildet sind, die Drähte für jede Region 500A und 500B in Bezug aufeinander ausgerichtet, z. B. da jeder entsprechende Draht aus der gleichen Halbleiterschicht gebildet ist. Es versteht sich, dass die gestrichelte Linie in 5A einen verhältnismäßig kleinen oder einen ziemlich großen Zwischenraum zwischen den Regionen 500A und 500B auf einem gemeinsamen Substrat 502 darstellen kann. In einer Ausführungsform isoliert eine Isolierungsschicht 506, wie z. B. eine Oxidschicht, die Nanodrähte 504 und 505 von dem Substrat 502, wie in 5A abgebildet. Die Struktur von 5A kann als eine Backbone-Struktur angesehen werden. Wie unten in Verbindung mit 5B beschrieben, kann die Backbone-Struktur benutzt werden, um die Kanalmaterialien durch Aufwachsen von Epitaxial-Mantelschichten um Abschnitte der Backbone-Struktur herum abzustimmen. Die Hinzufügung von Mantel-Epitaxialschichten kann einen Beweglichkeitsnutzen bereitstellen. Nachdem die Opferschichten entfernt sind, um die Struktur von 5A zu bilden, wird eine Epitaxial-Mantelschicht auf NMOS oder PMOS oder auf beiden Seiten wachsen lassen. Um den Spalt zwischen Drähten aufzuweiten und ausreichend Platze für Mantel-Epi, Gate-Dielektrikum und Gate-Metalle zu reservieren, können die Backbone-Drähte durch Nassätzen, Trockenätzen, Oxidation oder Ausheilen unter Wasserstoff verdünnt werden, wie in Verbindung mit 6 unten beschrieben. Somit wird auf 5B Bezug genommen, worin eine Halbleiterstruktur 500' eine erste Halbleitervorrichtung 500A' beinhaltet. Die erste Halbleitervorrichtung 500A' beinhaltet einen ersten Nanodraht (z. B. den unteren Nanodraht 504A' des Nanodrahtstapels 504'), der über dem Substrat 502 angeordnet ist. Der erste Nanodraht 504A' weist eine diskrete Kanalregion auf, die aus einem Halbleiter-Backbone-Material 520 besteht. Die Halbleiterstruktur 500' beinhaltet auch eine zweite Halbleitervorrichtung 500B'. Die zweite Halbleitervorrichtung 500B' beinhaltet einen zweiten Nanodraht (z. B. den unteren Nanodraht 505A' des Nanodrahtstapels 505'), der über dem Substrat 502 angeordnet ist. Der zweite Nanodraht 505A' weist eine diskrete Kanalregion auf, die aus dem Halbleiter-Backbone-Material 520 besteht. Die diskrete Kanalregion des ersten Nanodrahtes 504A' beinhaltet jedoch auch eine Mantelmaterialschicht 530, die in der diskreten Kanalregion der zweiten Halbleitervorrichtung 500B' nicht enthalten ist. Ein erster Gate-Elektrodenstapel (nicht gezeigt) kann so gebildet sein, dass er den ersten Nanodraht 504A' völlig umgibt sowie auch Mantelschicht 520 umgibt. Das heißt, sobald der Gate-Stapel einbezogen ist, weist der erste Nanodraht 504A' eine diskrete Kanalregion und Quellen- und Senkenregionen auf beiden Seiten der diskreten Kanalregion auf. Ein zweiter Gate-Elektrodenstapel (nicht gezeigt) ist so gebildet, dass er den zweiten Nanodraht 505A' völlig umgibt. Das heißt, sobald der zweite Gate-Stapel einbezogen ist, weist der zweite Nanodraht 505A' eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion auf. Somit kann die Struktur 5B zur Herstellung von CMOS-Vorrichtungen benutzt werden. In einer Ausführungsform wird der erste Nanodraht zur Herstellung von NMOS-Vorrichtungen und der zweite Nanodraht zur Herstellung von PMOS-Vorrichtungen benutzt. In einer anderen Ausführungsform wird der erste Nanodraht zur Herstellung von PMOS-Vorrichtungen und der zweite Nanodraht zur Herstellung von NMOS-Vorrichtungen benutzt. In einer Ausführungsform (nicht gezeigt) beinhaltet der zweite Nanodraht ferner eine umgebende Mantelmaterialschicht, die sich von der Mantelmaterialschicht des ersten Nanodrahtes unterscheidet. In einer solchen Ausführungsform wird der erste Nanodraht zur Herstellung von NMOS-Vorrichtungen und der zweite Nanodraht zur Herstellung von PMOS-Vorrichtungen benutzt. In einer anderen solchen Ausführungsform wird der erste Nanodraht zur Herstellung von PMOS-Vorrichtungen und der zweite Nanodraht zur Herstellung von NMOS-Vorrichtungen benutzt. In einer Ausführungsform beinhaltet der zweite Nanodraht keine umgebende Mantelmaterialschicht, wie in 5B abgebildet. In einer solchen Ausführungsform wird der erste Nanodraht zur Herstellung von NMOS-Vorrichtungen und der zweite Nanodraht zur Herstellung von PMOS-Vorrichtungen benutzt. In einer anderen solchen Ausführungsform wird der erste Nanodraht zur Herstellung von PMOS-Vorrichtungen und der zweite Nanodraht zur Herstellung von NMOS-Vorrichtungen benutzt. So können Vorrichtungen mit einem gemeinsamen Backbone, jedoch unterschiedlicher Halbleiterzusammensetzung insgesamt hergestellt werden. In einer Ausführungsform ist das Halbleiter-Backbone-Material des ersten und des zweiten Nanodrahtes aus derselben Schicht gebildet, z. B. sind die Schichten nicht gegeneinander versetzt, wie in 5A und 5B abgebildet. In einer Ausführungsform weist das Halbleiter-Backbone-Material des ersten Nanodrahtes einen kleineren Durchmesser als das Halbleiter-Backbone-Material des ersten Nanodrahtes auf, z. B. werden die ersten Nanodrähte vor der Bildung der Mantelschicht verdünnt. In solch einer Ausführungsform können die Mittelpunkte entsprechender NMOS/PMOS-Nanodrähte ausgerichtet sein, jedoch weisen die Drähte voneinander unterschiedliche Durchmesser auf. Das Halbleiter-Backbone-Material ist Silicium, gestrecktes Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid oder mit Kohlenstoff dotiertes Siliciumgermanium. In jener Ausführungsform besteht die Mantelmaterialschicht aus einem anderen Material, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid und mit Kohlenstoff dotiertem Siliciumgermanium besteht. Obwohl in einigen Ausführungsformen oben Replacement-Gate-Verfahren beschrieben sind, werden in einer anderen Ausführungsform Vorrichtungen gebildet, wobei die erste gebildete Gate-Struktur die permanente Gate-Struktur ist. Obwohl eine einzige Mantelschicht je Draht für einige Ausführungsformen oben beschrieben ist, kann auch mehr als eine Mantelschicht, z. B. als ein Stapel von Mantelschichten, für einen einzelnen Nanodraht benutzt werden. Eine Vielfalt an Vorgehensweisen kann benutzt werden, um während der Herstellung der Vorrichtung eine Mantelmaterialschicht auf einem oder mehreren Nanodrähten bereitzustellen. So veranschaulicht 6 beispielsweise Querschnittsansichten, die mehrere Vorgehensweisen zum Bilden einer Mantelschicht auf einem Nanodraht in der Herstellung einer CMOS-Nanodrahtstruktur gemäß einer Ausführungsform der vorliegenden Erfindung veranschaulichen. Es wird auf Verfahren A von 6 Bezug genommen, wobei die technische Auslegung der Backbone-Form und -größe vor der Bildung der Mantelschicht auf mehreren Nanodrähten das Ausgehen, in einem ersten Arbeitsvorgang, von mehreren diskreten Nanodrähten 602A beinhaltet, die über einem Substrat 600A angeordnet sind. In einem zweiten Arbeitsvorgang wird ein isotropes Ätzen (z. B. ein isotropes Nass- oder Trockenätzen, das für das Material der Nanodrähte 602A selektiv ist) eingesetzt, um die Nanodrähte 604A mit im Vergleich zu den Nanodrähten 602A verringerter Abmessung, jedoch etwa der gleichen Form bereitzustellen, z. B. quadratisch oder rechteckig mit abgerundeten Ecken. In einem dritten Arbeitsvorgang wird eine Mantelmaterialschicht 606A (z. B. eine Schicht, die aus einem Halbleitermaterial besteht, das sich von dem Halbleitermaterial der Nanodrähte 602A unterscheidet) übereinstimmend mit den Nanodrähten 604A, z. B. mittels eines Epitaxial-Aufwachsverfahrens, gebildet. Es wird auf Verfahren B von 6 Berg genommen, wobei die technische Auslegung der Backbone-Form und -größe vor der Bildung der Mantelschicht auf mehreren Nanodrähten das Ausgehen, in einem ersten Arbeitsvorgang, von mehreren diskreten Nanodrähten 602B beinhaltet, die über einem Substrat 600B angeordnet sind. In einem zweiten Arbeitsvorgang wird ein Ätzen, das eine spezifische Kristallflächen-Orientierung bevorzugt (z. B. ein Nass- oder Trockenätzen, das für das Material der Nanodrähte 602B selektiv ist und eine spezifische Kristallflächen-Orientierung dafür bevorzugt) eingesetzt, um die Nanodrähte 604B mit im Vergleich zu den Nanodrähten 602B verringerter Abmessung und einer unterschiedlichen Form, z. B. rautenförmig, bereitzustellen. In einem dritten Arbeitsvorgang wird eine Mantelmaterialschicht 606B (z. B. eine Schicht, die aus einem Halbleitermaterial besteht, das sich von dem Halbleitermaterial der Nanodrähte 602B unterscheidet) übereinstimmend mit den Nanodrähten 604B, z. B. mittels eines Epitaxial-Aufwachsverfahrens, gebildet. Es wird auf Verfahren C von 6 Bezug genommen, wobei die technische Auslegung der Backbone-Form und -größe vor der Bildung der Mantelschicht auf mehreren Nanodrähten das Ausgehen, in einem ersten Arbeitsvorgang, von mehreren diskreten Nanodrähten 602C beinhaltet, die über einem Substrat 600C angeordnet sind. In einem zweiten Arbeitsvorgang wird isotropes Ätzen mit Oxidation/Ausheilen unter Wasserstoff (z. B. ein isotropes Nass- oder Trockenätzen, das für das Material der Nanodrähte 602C selektiv ist, gefolgt von Oxidation/Ausheilen unter Wasserstoff) eingesetzt, um die Nanodrähte 604C mit im Vergleich zu den Nanodrähten 602C verringerter Abmessung und einer unterschiedlichen Form, z. B. kreisförmig, bereitzustellen. In einem dritten Arbeitsvorgang wird eine Mantelmaterialschicht 606C (z. B. eine Schicht, die aus einem Halbleitermaterial besteht, das sich von dem Halbleitermaterial der Nanodrähte 602C unterscheidet) übereinstimmend mit den Nanodrähten 604C, z. B. mittels eines Epitaxial-Aufwachsverfahrens, gebildet.
  • Somit wird auf die Verfahrensabläufe A, B und C von 6 Bezug genommen, wobei die technische Auslegung der Backbone-Form für das Epitalwachstum durchgeführt werden kann. Die Querschnittsform und die Kristallrichtung von Backbone-Material können technisch so ausgelegt werden, um die Epitalqualitätsbeweglichkeit zu verbessern sowie eine verbesserte Spaltfüllung zu ermöglichen. Die technische Auslegung der Backbone-Form kann die Benutzung verschiedener Verfahren einbeziehen, wie z. B. isotropisches Ätzen, Ätzen unter Bevorzugen spezifischer Kristallflächen-Orientierung oder isotropisches Ätzen mit Oxidation/Ausheilen unter Wasserstoff. Wie durchweg kurz erwähnt wurde, beinhalten eine oder mehrere Ausführungsformen der vorliegenden Erfindung eine Stauchung für verbesserte Lochbeweglichkeit für PMOS-Nanodraht-basierte Vorrichtungen und Zugstreckung für verbesserte Elektronbeweglichkeit für NMOS-Nanodraht-basierte Vorrichtungen. In einer Ausführungsform sind gestreckte Silicium- und gestreckte Siliciumgermanium-Vorrichtungen aus solchen Schichten gebildet, um die Leistungsfähigkeit der Vorrichtungen zu verbessern oder zu maximieren. In einer Ausführungsform sind einachsig gestreckte NMOS- und PMOS-Nanodraht- oder -Nanostreifen-Vorrichtungen auf oder über einem gemeinsamen Substrat mittels einer oder mehrerer oben beschriebener Vorgehensweisen hergestellt. Die PMOS-Transistoren können SiGe beinhalten, das einachsige Stauchung längs der Stromflussrichtung aufweist, wohingegen die NMOS-Transistoren Silicium beinhalten können, das einachsige Zugstreckung längs der Stromflussrichtung aufweist. 7 veranschaulicht ein EDV-Gerät 700. Das EDV-Gerät 700 beherbergt eine Platine 702. Die Platine 702 kann eine Anzahl von Komponenten beinhalten, einschließlich, aber nicht beschränkt auf einen Prozessor 704 und mindestens einen Kommunikations-Chip 706. Der Prozessor 704 ist physisch und elektrisch mit der Platine 702 verbunden. In einigen Implementierungen ist der mindestens eine Kommunikations-Chip 706 ebenfalls physisch und elektrisch mit der Platine 702 gekoppelt. In weiteren Implementierungen ist der Kommunikations-Chip 706 Teil des Prozessors 704. In Abhängigkeit von seinen Anwendungen kann das EDV-Gerät 700 andere Komponenten beinhalten, die mit der Platine 702 physisch und elektrisch gekoppelt sein können oder nicht. Diese anderen Komponenten sind u. a. ein flüchtiger Speicher (z. B. DRAM), ein nichtflüchtiger Speicher (z. B. ROM), ein Flash-Speicher, ein Graphikprozessor, ein Digitalsignal-Prozessor, ein Verschlüsselungsprozessor, ein Chip-Satz, eine Antenne, eine Sichtanzeige, eine berührungsempfindliche Sichtanzeige, eine Steuerung für eine berührungsempfindliche Sichtanzeige, eine Batterie, ein Audio-Codec, ein Video-Codec, ein Leistungsverstärker, eine Vorrichtung des globalen Positionsbestimmungssystems (GPS), ein Kompass, ein Beschleunigungsmesser, ein Gyroskop, ein Lautsprecher, eine Kamera und eine Massenspeichervorrichtung (wie z. B. ein Festplattenlaufwerk, eine Kompakt-Disk (CD), eine DVD (digitale versatile disk) und so weiter, sind aber nicht darauf beschränkt. Der Kommunikations-Chip 706 ermöglicht drahtlose Kommunikation zur Übertragung von Daten von und zu dem EDV-Gerät 700. Der Ausdruck „drahtlos” und seine Abwandlungen können benutzt sein, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten unter Benutzung modulierter elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Ausdruck deutet nicht an, dass die verbundenen Geräte nicht irgendwelche Drähte enthalten, obwohl sie dies in einigen Ausführungsformen möglicherweise nicht tun. Der Kommunikations-Chip 706 kann beliebige einer Anzahl von Drahtlosstandards oder -protokollen implementieren, einschließlich, aber nicht beschränkt auf Wi-Fi (Familie IEEE 802.11), WiMAX (Familie IEEE 802.16), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Abwandlungen davon sowie beliebige andere Drahtlosprotokolle, die als 3G, 4G, 5G und darüber hinaus bezeichnet werden. Das EDV-Gerät 700 kann mehrere Kommunikations-Chips 706 beinhalten. Zum Beispiel kann ein erster Kommunikations-Chip 706 für Drahtloskommunikation mit kürzeren Reichweite, wie z. B. Wi-Fi und Bluetooth, zweckbestimmt sein und ein zweiter Kommunikations-Chip 706 für Drahtloskommunikation mit längerer Reichweite, wie z. B. GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO und andere, zweckbestimmt sein. Der Prozessor 704 des EDV-Gerätes 700 beinhaltet ein Halbleiterplättchen mit integrierter Schaltung, das eine Baugruppe innerhalb des Prozessors 704 bildet ist.
  • In einigen Implementierungen der Erfindung beinhaltet das Halbleiterplättchen mit integrierter Schaltung des Prozessors eine oder mehrere Vorrichtungen, wie z. B. MOS-FET-Transistoren, die gemäß Implementierungen der Erfindung gebaut sind. Der Ausdruck „Prozessor” kann sich auf eine beliebige Vorrichtung oder einen Teil einer Vorrichtung beziehen, die/der elektronische Daten von Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, die in Registern und/oder einem Speicher gespeichert werden können. Der Kommunikations-Chip 706 beinhaltet auch ein Halbleiterplättchen mit integrierter Schaltung, das eine Baugruppe innerhalb des Kommunikations-Chips 706 bildet ist. Gemäß einer anderen Implementierung der Erfindung beinhaltet das Halbleiterplättchen mit integrierter Schaltung des Kommunikations-Chips eine oder mehrere Vorrichtungen, wie z. B. MOS-FET-Transistoren, die gemäß Implementierungen der Erfindung gebaut sind. In weiteren Implementierungen kann eine andere Komponente, die innerhalb des EDV-Gerätes 700 untergebracht ist, ein Halbleiterplättchen mit integrierter Schaltung enthalten, das eine oder mehrere Vorrichtungen beinhaltet, wie z. B. MOS-FET-Transistoren, die gemäß Implementierungen der Erfindung gebaut sind. In verschiedenen Implementierungen kann das EDV-Gerät 700 ein Laptop, ein Netbook, eine Notebook, ein Ultrabook, ein Smartphone, ein Tablet-PC, ein Personal Digital Assistant (PDA), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Digitalkamera, ein tragbarers Musikabspielgerät oder ein Digital-Videorekorder sein. In weiteren Implementierungen kann das EDV-Gerät 700 eine beliebige andere elektronische Vorrichtung sein, die Daten verarbeitet. Somit wurden CMOS-Nanodrahtstrukturen offenbart. In einer Ausführungsform beinhaltet eine Halbleiterstruktur eine erste Halbleitervorrichtung. Die erste Halbleitervorrichtung beinhaltet einen ersten Nanodraht, der über einem Substrat angeordnet ist. Der erste Nanodraht weist einen Mittelpunkt in einem ersten Abstand über dem Substrat auf und beinhaltet eine diskrete Kanalregion und diskrete Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion. Ein erster Gate-Elektrodenstapel umgibt die diskrete Kanalregion des ersten Nanodrahtes völlig. Die Halbleiterstruktur beinhaltet auch eine zweite Halbleitervorrichtung. Die zweite Halbleitervorrichtung beinhaltet einen zweiten Nanodraht, der über dem Substrat angeordnet ist. Der zweite Nanodraht weist einen Mittelpunkt in einem zweiten Abstand über dem Substrat auf und beinhaltet eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion. Der erste Abstand unterscheidet sich vom zweiten Abstand. Ein zweiter Gate-Elektrodenstapel umgibt die diskrete Kanalregion des zweiten Nanodrahtes völlig. In einer solchen Ausführungsform besteht der erste Nanodraht aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid oder mit Kohlenstoff dotiertem Siliciumgermanium, und der zweite Nanodraht besteht aus einem anderen Material, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100) und mit Kohlenstoff dotiertem Siliciumgermanium besteht.

Claims (20)

  1. Halbleiterstruktur, umfassend: eine erste Halbleitervorrichtung, umfassend: einen ersten Nanodraht, der über einem Substrat angeordnet ist, wobei der erste Nanodraht einen Mittelpunkt in einem ersten Abstand über dem Substrat aufweist und eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei die Quellen- und Senkenregionen des ersten Nanodrahtes diskret sind; ein erstes Kontaktpaar, das die diskreten Quellen- und Senkenregionen des ersten Nanodrahtes völlig umgibt; und einen ersten Gate-Elektrodenstapel, der die diskrete Kanalregion des ersten Nanodrahtes völlig umgibt; und eine zweite Halbleitervorrichtung, umfassend: einen zweiten Nanodraht, der über dem Substrat angeordnet ist, wobei der zweite Nanodraht einen Mittelpunkt in einem zweiten Abstand über dem Substrat aufweist und eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei der erste Abstand von dem zweiten Abstand verschieden ist, wobei die Quellen- und Senkenregionen des zweiten Nanodrahtes diskret sind; ein zweites Kontaktpaar, das die diskreten Quellen- und Senkenregionen des zweiten Nanodrahtes völlig umgibt; und einen zweiten Gate-Elektrodenstapel, der die diskrete Kanalregion des zweiten Nanodrahtes völlig umgibt, wobei der erste Nanodraht im Wesentlichen aus einem Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid und mit Kohlenstoff dotiertem Siliciumgermanium besteht, und der zweite Nanodraht im Wesentlichen aus einem unterschiedlichen Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100) und mit Kohlenstoff dotiertem Siliciumgermanium besteht.
  2. Halbleiterstruktur nach Anspruch 1, wobei die erste Halbleitervorrichtung eine NMOS-Vorrichtung ist und die zweite Halbleitervorrichtung eine PMOS-Vorrichtung ist.
  3. Halbleiterstruktur nach Anspruch 1, wobei der erste und der zweite Nanodraht über einem kristallinen Bulk-Substrat angeordnet sind, das eine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist.
  4. Halbleiterstruktur nach Anspruch 1, ferner umfassend: ein erstes Abstandhalterpaar, das zwischen dem ersten Gate-Elektrodenstapel und dem ersten Kontaktpaar angeordnet ist; und ein zweites Abstandhalterpaar, das zwischen dem zweiten Gate-Elektrodenstapel und dem zweiten Kontaktpaar angeordnet ist.
  5. Halbleiterstruktur nach Anspruch 4, wobei ein Abschnitt von jedem von dem ersten und dem zweiten Nanodraht nicht diskret ist.
  6. Halbleiterstruktur nach Anspruch 1, wobei die erste Halbleitervorrichtung ferner einen oder mehrere zusätzliche Nanodrähte umfasst, die senkrecht mit dem ersten Nanodraht gestapelt sind, wobei der erste Nanodraht der allerunterste Nanodraht der ersten Halbleitervorrichtung ist und die zweite Halbleitervorrichtung ferner einen oder mehrere zusätzliche Nanodrähte umfasst, die senkrecht mit dem zweiten Nanodraht gestapelt sind, wobei der zweite Nanodraht der allerunterste Nanodraht der zweiten Halbleitervorrichtung ist.
  7. Halbleiterstruktur, umfassend: eine erste Halbleitervorrichtung, umfassend: einen ersten Nanodraht, der über einem Substrat angeordnet ist, wobei der erste Nanodraht eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei die diskrete Kanalregion ein Halbleiter-Backbone-Material umfasst, wobei die Quellen- und Senkenregionen des ersten Nanodrahtes diskret sind; ein erstes Kontaktpaar, das die diskreten Quellen- und Senkenregionen des ersten Nanodrahtes völlig umgibt; und einen ersten Gate-Elektrodenstapel, der die diskrete Kanalregion des ersten Nanodrahtes völlig umgibt; und eine zweite Halbleitervorrichtung, umfassend: einen zweiten Nanodraht, der über dem Substrat angeordnet ist, wobei der zweite Nanodraht eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei die diskrete Kanalregion das Halbleiter-Backbone-Material sowie auch eine umgebende Mantelmaterialschicht umfasst, die nicht in der Kanalregion der ersten Halbleitervorrichtung enthalten ist, wobei die Quellen- und Senkenregionen des zweiten Nanodrahtes diskret sind; ein zweites Kontaktpaar, das die diskreten Quellen- und Senkenregionen des zweiten Nanodrahtes völlig umgibt; und einen zweiten Gate-Elektrodenstapel, der die diskrete Kanalregion des zweiten Nanodrahtes völlig umgibt, wobei das Halbleiter-Backbone-Material aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid und mit Kohlenstoff dotiertem Siliciumgermanium besteht, und die Mantelmaterialschicht im Wesentlichen aus einem unterschiedlichen Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid und mit Kohlenstoff dotiertem Siliciumgermanium besteht.
  8. Halbleiterstruktur nach Anspruch 7, wobei der erste Nanodraht ferner eine umgebende Mantelmaterialschicht umfasst, die von der Mantelmaterialschicht des zweiten Nanodrahtes verschieden ist.
  9. Halbleiterstruktur nach Anspruch 7, wobei der erste Nanodraht keine umgebende Mantelmaterialschicht umfasst.
  10. Halbleiterstruktur nach Anspruch 7, wobei das Halbleiter-Backbone-Material des ersten und des zweiten Nanodrahtes aus derselben Schicht gebildet ist.
  11. Halbleiterstruktur nach Anspruch 7, wobei das Halbleiter-Backbone-Material des zweiten Nanodrahtes einen kleineren Durchmesser als das Halbleiter-Backbone-Material des ersten Nanodrahtes aufweist.
  12. Halbleiterstruktur nach Anspruch 7, wobei der erste und der zweite Nanodraht über einem kristallinen Bulk-Substrat angeordnet sind, das eine dielektrische Zwischenschicht aufweist, die darauf angeordnet ist.
  13. Halbleiterstruktur nach Anspruch 7, ferner umfassend: ein erstes Abstandhalterpaar, das zwischen dem ersten Gate-Elektrodenstapel und dem ersten Kontaktpaar angeordnet ist; und ein zweites Abstandhalterpaar, das zwischen dem zweiten Gate-Elektrodenstapel und dem zweiten Kontaktpaar angeordnet ist.
  14. Halbleiterstruktur nach Anspruch 13, wobei ein Abschnitt von jedem von dem ersten und dem zweiten Nanodraht nicht diskret ist.
  15. Halbleiterstruktur nach Anspruch 7, wobei die erste Halbleitervorrichtung ferner einen oder mehrere zusätzliche Nanodrähte umfasst, die senkrecht mit dem ersten Nanodraht gestapelt sind, und die zweite Halbleitervorrichtung ferner einen oder mehrere zusätzliche Nanodrähte umfasst, die senkrecht mit dem zweiten Nanodraht gestapelt sind.
  16. Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur, wobei das Verfahren umfasst: Bilden einer ersten aktiven Schicht über einem Substrat, wobei die erste aktive Schicht eine erste Gitterkonstante aufweist; Bilden einer zweiten aktiven Schicht auf der ersten aktiven Schicht, wobei die zweite aktive Schicht eine zweite Gitterkonstante aufweist, die größer als die erste Gitterkonstante ist; Bilden aus der ersten aktiven Schicht einen ersten Nanodraht, der eine diskrete Kanalregion und diskrete Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst; Bilden aus der zweiten aktiven Schicht einen zweiten Nanodraht, der eine diskrete Kanalregion und diskrete Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst; Bilden eines ersten Gate-Elektrodenstapels, der die diskrete Kanalregion des ersten Nanodrahtes völlig umgibt; Bilden eines zweiten Gate-Elektrodenstapels, der die diskrete Kanalregion des zweiten Nanodrahtes völlig umgibt; Bilden eines ersten Kontaktpaares, das die diskreten Quellen- und Senkenregionen des ersten Nanodrahtes völlig umgibt; und Bilden eines zweiten Kontaktpaares, das die diskreten Quellen- und Senkenregionen des zweiten Nanodrahtes völlig umgibt, wobei der erste Nanodraht im Wesentlichen aus einem Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid und mit Kohlenstoff dotiertem Siliciumgermanium besteht, und der zweite Nanodraht im Wesentlichen aus einem unterschiedlichen Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100) und mit Kohlenstoff dotiertem Siliciumgermanium besteht.
  17. Verfahren nach Anspruch 16, wobei das Bilden des ersten Nanodrahtes aus der ersten aktiven Schicht das selektive Entfernen eines Abschnittes der zweiten aktiven Schicht umfasst und das Bilden des zweiten Nanodrahtes aus der zweiten aktiven Schicht das selektive Entfernen eines Abschnittes der ersten aktiven Schicht umfasst.
  18. Verfahren nach Anspruch 16, wobei die erste aktive Schicht im Wesentlichen aus Silicium besteht und die zweite aktive Schicht im Wesentlichen aus Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100) besteht.
  19. Verfahren nach Anspruch 18, wobei der erste Gate-Elektrodenstapel ein NMOS-Gate-Elektrodenstapel ist und wobei der zweite Gate-Elektrodenstapel ein PMOS-Gate-Elektrodenstapel ist.
  20. Verfahren nach Anspruch 16, wobei die erste aktive Schicht über einem kristallinen Bulk-Substrat gebildet wird, das eine dielelektrische Zwischenschicht aufweist, die darauf angeordnet ist, wobei die erste aktive Schicht auf der dielektrischen Zwischenschicht gebildet wird.
DE112011106004.1T 2011-12-23 2011-12-23 Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur Active DE112011106004B4 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/067225 WO2013095646A1 (en) 2011-12-23 2011-12-23 Cmos nanowire structure

Publications (2)

Publication Number Publication Date
DE112011106004T5 DE112011106004T5 (de) 2014-09-04
DE112011106004B4 true DE112011106004B4 (de) 2017-07-13

Family

ID=48669284

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011106004.1T Active DE112011106004B4 (de) 2011-12-23 2011-12-23 Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur

Country Status (5)

Country Link
US (3) US9224810B2 (de)
CN (2) CN106653694B (de)
DE (1) DE112011106004B4 (de)
TW (1) TWI546936B (de)
WO (1) WO2013095646A1 (de)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
WO2013095646A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Cmos nanowire structure
KR20140106270A (ko) * 2013-02-26 2014-09-03 삼성전자주식회사 집적 회로 장치 및 그 제조 방법
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9035277B2 (en) 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US8872161B1 (en) * 2013-08-26 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate circuit with nanowires
EP3050111A4 (de) * 2013-09-27 2017-06-07 Intel Corporation Verbesserte mantelschicht-epitaxie durch schablonenbearbeitung für heterogene integration auf silicium
US9704880B2 (en) * 2013-11-06 2017-07-11 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
DE102013223263B4 (de) * 2013-11-14 2018-09-27 Globalfoundries Inc. Nanodraht - Transistorbauteil und Verfahren
KR102146449B1 (ko) * 2013-12-18 2020-08-20 인텔 코포레이션 이종 층 디바이스
KR102171831B1 (ko) 2013-12-19 2020-10-29 인텔 코포레이션 하이브리드 기하 구조 기반의 활성 영역을 갖는 비평면 반도체 디바이스
US9209185B2 (en) 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US10037397B2 (en) * 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
JP6428789B2 (ja) * 2014-06-24 2018-11-28 インテル・コーポレーション 集積回路、相補型金属酸化膜半導体(cmos)デバイス、コンピューティングシステム、および方法
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US10199502B2 (en) * 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
CN104282575B (zh) * 2014-09-26 2017-06-06 北京大学 一种制备纳米尺度场效应晶体管的方法
US11631737B2 (en) 2014-12-24 2023-04-18 Intel Corporation Ingaas epi structure and wet etch process for enabling III-v GAA in art trench
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions
CN105895688B (zh) * 2015-01-26 2020-05-05 联华电子股份有限公司 纳米线晶体管元件及其制作方法
CN105990413B (zh) * 2015-02-06 2020-11-17 联华电子股份有限公司 具有纳米线结构的半导体结构与制造方法
US9401372B1 (en) * 2015-02-10 2016-07-26 International Business Machines Corporation Dual isolation on SSOI wafer
US9508741B2 (en) * 2015-02-10 2016-11-29 International Business Machines Corporation CMOS structure on SSOI wafer
US9390980B1 (en) * 2015-03-24 2016-07-12 International Business Machines Corporation III-V compound and germanium compound nanowire suspension with germanium-containing release layer
US9780166B2 (en) * 2015-03-30 2017-10-03 International Business Machines Corporation Forming multi-stack nanowires using a common release material
US9349860B1 (en) * 2015-03-31 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
KR102325894B1 (ko) 2015-06-10 2021-11-12 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9362179B1 (en) 2015-06-22 2016-06-07 International Business Machines Corporation Method to form dual channel semiconductor material fins
CN106328520A (zh) * 2015-07-02 2017-01-11 中芯国际集成电路制造(上海)有限公司 纳米线场效应晶体管及其形成方法
EP3112316B1 (de) * 2015-07-02 2018-05-02 IMEC vzw Verfahren zur herstellung von transistorvorrichtungen mit mehreren nanodrahtkanälen
US9613871B2 (en) * 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
EP3127862B1 (de) * 2015-08-06 2018-04-18 IMEC vzw Verfahren zur herstellung einer gate-all-around-nanodrahtvorrichtung mit zwei unterschiedlichen nanodrähten
US9607990B2 (en) * 2015-08-28 2017-03-28 International Business Machines Corporation Method to form strained nFET and strained pFET nanowires on a same substrate
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
CN113611610A (zh) * 2015-09-10 2021-11-05 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
US9607900B1 (en) * 2015-09-10 2017-03-28 International Business Machines Corporation Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
CN106558489B (zh) * 2015-09-30 2019-03-15 中国科学院微电子研究所 一种纳米线结构、围栅纳米线器件及其制造方法
CN106558603B (zh) * 2015-09-30 2019-05-31 中国科学院微电子研究所 一种纳米线结构、围栅纳米线器件及其制造方法
KR102373620B1 (ko) * 2015-09-30 2022-03-11 삼성전자주식회사 반도체 장치
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
CN106601804B (zh) 2015-10-15 2018-06-01 上海新昇半导体科技有限公司 场效应晶体管及其制备方法
US9754840B2 (en) * 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9484306B1 (en) * 2015-11-17 2016-11-01 International Business Machines Corporation MOSFET with asymmetric self-aligned contact
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US9735274B2 (en) * 2015-11-20 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a stacked wire structure
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106856208B (zh) * 2015-12-08 2019-09-27 中芯国际集成电路制造(北京)有限公司 纳米线半导体器件及其形成方法
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
CN106887409B (zh) * 2015-12-15 2020-02-21 上海新昇半导体科技有限公司 互补纳米线半导体器件及其制造方法
CN106910716B (zh) * 2015-12-22 2021-10-15 Imec 非营利协会 Si基高迁移率CMOS装置的制造方法及所得装置
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
KR102367408B1 (ko) * 2016-01-04 2022-02-25 삼성전자주식회사 복수의 시트들로 구성된 채널 영역을 포함하는 sram 소자
US9899416B2 (en) 2016-01-11 2018-02-20 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9484267B1 (en) * 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
CN107154428B (zh) 2016-03-03 2019-12-24 上海新昇半导体科技有限公司 互补纳米线半导体器件及其制备方法
CN107204311A (zh) * 2016-03-16 2017-09-26 上海新昇半导体科技有限公司 纳米线半导体器件及其制造方法
KR20180130097A (ko) 2016-03-30 2018-12-06 인텔 코포레이션 트랜지스터 집적을 위한 나노와이어
US9711607B1 (en) * 2016-04-15 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. One-dimensional nanostructure growth on graphene and devices thereof
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
CN107623033A (zh) * 2016-07-13 2018-01-23 中芯国际集成电路制造(上海)有限公司 多沟道全包围栅极器件及其制造方法
JP7046049B2 (ja) 2016-07-19 2022-04-01 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US20180061944A1 (en) * 2016-08-31 2018-03-01 International Business Machines Corporation Forming nanosheet transistors with differing characteristics
WO2018063300A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Nanowire transistors employing carbon-based layers
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
CN106549047B (zh) * 2016-11-03 2020-10-02 武汉华星光电技术有限公司 一种纳米线无结晶体管及其制备方法
CN109952654B (zh) 2016-11-14 2023-05-05 东京毅力科创株式会社 在纳米线和纳米板处理中防止块体硅电荷转移的方法
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US10319813B2 (en) 2017-03-27 2019-06-11 International Business Machines Corporation Nanosheet CMOS transistors
WO2018182655A1 (en) 2017-03-30 2018-10-04 Intel Corporation Removal of a bottom-most nanowire from a nanowire device stack
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US11101270B2 (en) 2017-06-29 2021-08-24 Intel Corporation Techniques and mechanisms for operation of stacked transistors
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10332962B2 (en) 2017-10-11 2019-06-25 International Business Machines Corporation Nanosheet semiconductor structure with inner spacer formed by oxidation
US10714391B2 (en) * 2017-12-04 2020-07-14 Tokyo Electron Limited Method for controlling transistor delay of nanowire or nanosheet transistor devices
CN108172546B (zh) * 2017-12-22 2020-06-23 中国科学院微电子研究所 一种cmos纳米线及其制造方法
CN109979986B (zh) * 2017-12-28 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102452925B1 (ko) 2018-02-23 2022-10-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10263100B1 (en) 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
CN111699550B (zh) 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
US10332809B1 (en) * 2018-06-21 2019-06-25 International Business Machines Corporation Method and structure to introduce strain in stack nanosheet field effect transistor
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US11043556B2 (en) 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
KR102515393B1 (ko) 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10714392B2 (en) * 2018-07-18 2020-07-14 International Business Machines Corporation Optimizing junctions of gate all around structures with channel pull back
US10756175B2 (en) 2018-09-18 2020-08-25 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
US11276691B2 (en) * 2018-09-18 2022-03-15 Intel Corporation Gate-all-around integrated circuit structures having self-aligned source or drain undercut for varied widths
US11676965B2 (en) 2018-09-28 2023-06-13 Intel Corporation Strained tunable nanowire structures and process
CN110970432A (zh) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 全包围栅纳米片互补反相器结构及其制造方法
US11043493B2 (en) 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US10861852B2 (en) 2018-11-05 2020-12-08 Qualcomm Incorporated Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) for complementary metal-oxide semiconductor (CMOS) cell circuits
US11532619B2 (en) * 2019-03-27 2022-12-20 Intel Corporation Transistor structures including a non-planar body having variable and complementary semiconductor and insulator portions
US10818559B1 (en) 2019-04-29 2020-10-27 International Business Machines Corporation Formation of multi-segment channel transistor devices
KR20200131070A (ko) 2019-05-13 2020-11-23 삼성전자주식회사 집적회로 소자
CN112420831B (zh) * 2019-08-23 2024-05-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11031292B2 (en) * 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11133310B2 (en) * 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11145654B2 (en) * 2019-10-16 2021-10-12 Qualcomm Incorporated Field effect transistor (FET) comprising channels with silicon germanium (SiGe)
US20210202478A1 (en) * 2019-12-26 2021-07-01 Intel Corporation Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
US11282967B2 (en) * 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure field-effect transistor device and method of forming
US11631671B2 (en) * 2019-12-31 2023-04-18 Tokyo Electron Limited 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same
US11094699B1 (en) * 2020-05-28 2021-08-17 Micron Technology, Inc. Apparatuses including stacked horizontal capacitor structures and related methods, memory devices, and electronic systems
CN111613536A (zh) * 2020-05-29 2020-09-01 上海华力集成电路制造有限公司 一种鳍型半导体器件及其制造方法
CN112201692A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 全包围栅极鳍式场效应晶体管及其制造方法
US11735590B2 (en) 2020-11-13 2023-08-22 International Business Machines Corporation Fin stack including tensile-strained and compressively strained fin portions
CN114639731A (zh) 2020-12-15 2022-06-17 联华电子股份有限公司 一种制作半导体元件的方法
CN114792682A (zh) 2021-01-26 2022-07-26 联华电子股份有限公司 纳米线晶体管及其制作方法
US11837604B2 (en) 2021-09-22 2023-12-05 International Business Machine Corporation Forming stacked nanosheet semiconductor devices with optimal crystalline orientations around devices
US20230178658A1 (en) * 2021-12-02 2023-06-08 Intel Corporation Recessed inner gate spacers and partial replacement channel in non-planar transistors

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090212330A1 (en) * 2008-02-27 2009-08-27 Stmicroelectronics (Crolles 2) Sas Method of fabricating a buried-gate semiconductor device and corresponding integrated circuit
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
US20110018065A1 (en) * 2008-02-26 2011-01-27 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US20110254099A1 (en) * 2009-12-01 2011-10-20 Shanghai Institute of Microsystem and Information Technology Chinese Academy Hybrid material accumulation mode GAA CMOSFET
US20110278544A1 (en) * 2010-05-12 2011-11-17 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
DE112011105970T5 (de) * 2011-12-19 2014-09-25 Intel Corporation CMOS-Implementierung aus Germanium und lll-V-Nanodrähten und -Nanobändern in Gate-Rundum-Architektur

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7051945B2 (en) * 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
KR100521310B1 (ko) 2003-10-06 2005-10-14 에스케이 텔레콤주식회사 멀티모달을 이용한 립싱크-아바타 제공 방법 및 장치
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100699839B1 (ko) * 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
KR101155176B1 (ko) * 2005-07-12 2012-06-11 삼성전자주식회사 방향성이 조절된 단결정 와이어 및 이를 적용한트랜지스터의 제조방법
US7354831B2 (en) * 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
KR100722768B1 (ko) * 2006-04-03 2007-05-30 삼성전자주식회사 반도체 장치의 제조 방법
US8063450B2 (en) * 2006-09-19 2011-11-22 Qunano Ab Assembly of nanoscaled field effect transistors
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
JP5073014B2 (ja) * 2010-06-11 2012-11-14 株式会社東芝 半導体装置およびその製造方法
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
WO2013095646A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Cmos nanowire structure
KR101631778B1 (ko) 2011-12-23 2016-06-24 인텔 코포레이션 랩-어라운드 컨택트들을 가진 나노와이어 구조들

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110018065A1 (en) * 2008-02-26 2011-01-27 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US20090212330A1 (en) * 2008-02-27 2009-08-27 Stmicroelectronics (Crolles 2) Sas Method of fabricating a buried-gate semiconductor device and corresponding integrated circuit
US20100295021A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Single Gate Inverter Nanowire Mesh
US20110254099A1 (en) * 2009-12-01 2011-10-20 Shanghai Institute of Microsystem and Information Technology Chinese Academy Hybrid material accumulation mode GAA CMOSFET
US20110278544A1 (en) * 2010-05-12 2011-11-17 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
DE112011105970T5 (de) * 2011-12-19 2014-09-25 Intel Corporation CMOS-Implementierung aus Germanium und lll-V-Nanodrähten und -Nanobändern in Gate-Rundum-Architektur

Also Published As

Publication number Publication date
US20170133277A1 (en) 2017-05-11
US9224810B2 (en) 2015-12-29
CN106653694A (zh) 2017-05-10
CN106653694B (zh) 2019-10-18
US9583491B2 (en) 2017-02-28
CN104011849B (zh) 2016-12-28
US20140197377A1 (en) 2014-07-17
TWI546936B (zh) 2016-08-21
CN104011849A (zh) 2014-08-27
US10074573B2 (en) 2018-09-11
DE112011106004T5 (de) 2014-09-04
US20160086951A1 (en) 2016-03-24
WO2013095646A1 (en) 2013-06-27
TW201342580A (zh) 2013-10-16

Similar Documents

Publication Publication Date Title
DE112011106004B4 (de) Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur
DE112011106031B4 (de) Einaxial gespannte Nanodrahtstrukturen
DE112011106006B4 (de) Nanodrahtstrukturen mit Rundumkontakten und zugehöriges Herstellungsverfahren
DE102016115986B4 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102019121928A1 (de) Gestapelte nanodrahttransistorstruktur mit unterschiedlichen kanalgeometrien zur belastung
DE112011106033B4 (de) Halbleiterbauelemente mit einer aktiven Germaniumschicht mit darunterliegender Diffusionssperrschicht
DE112011105996B4 (de) Halbleiterbauelement mit einem verengten Halbleiterkörper
DE112011105973T5 (de) Halbleitervorrichtung mit metallischen Quellen- und Senkenregionen
DE102020105121A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit germanium-nanodraht-kanalstrukturen
DE112013006527T5 (de) Nanodrahttransistor mit Unterschicht-Ätzstopps
DE112011106023T5 (de) Nanodrahtstrukturen mit nicht diskreten Source- und Drain-Gebieten
DE102019121697A1 (de) Integrierte Gate-Rundum-Schaltungsstrukturen mit asymmetrischen Source- und Drain-Kontaktstrukturen
DE112014000536T5 (de) Tiefe Gate-Rundherum-Halbleitervorrichtung mit aktiver Germanium- oder Gruppe-III-V-Schicht
DE112013006525T5 (de) Herstellung eines Nanodrahttransistors Hartmaskenschichten
DE112015006962T5 (de) Hybride tri-gate- und nanodraht-cmos-vorrichtungsarchitektur
DE112013006642T5 (de) Leckageverringerungsstrukturen für Nanodraht-Transistoren
DE102019122949A1 (de) Verspannte abstimmbare nanodrahtstrukturen und prozess
DE102020104178A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit eingebetteten gesnb-source- oder drainstrukturen
DE102020103517A1 (de) Gate-All-Around-Strukturen für integrierte Schaltungen mit Source- oder Drain-Strukturen mit epitaktischen Noppen
DE112017008312T5 (de) Heterogene ge/iii-v-cmos-transistorstrukturen
DE102020134411A1 (de) Integrierte gate-all-around-strukturen mit germaniumdotierten nanoband-kanalstrukturen
DE102020128908A1 (de) Integrierte Gate-All-Around-Schaltkreisstrukturen, die Bausteine mit elektrischem Kanal-Substrat-Kontakt aufweisen
DE102019108021A1 (de) Wrap-Around-Kontaktstrukturen für Halbleiterfinnen
DE102021121273A1 (de) Herstellung von gate-all-around-integrierte-schaltung-strukturen mit vor-abstandshalter-abscheidung-geschnittenen gates
DE112017007838T5 (de) Transistoren mit kanal- und unterkanalregionen mit unterschiedlichen zusammensetzungen und abmessungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: SONY CORPORATION, JP

Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US

R082 Change of representative

Representative=s name: MUELLER HOFFMANN & PARTNER PATENTANWAELTE MBB, DE