TWI546936B - 互補式金屬氧化物半導體奈米線結構 - Google Patents

互補式金屬氧化物半導體奈米線結構 Download PDF

Info

Publication number
TWI546936B
TWI546936B TW101148662A TW101148662A TWI546936B TW I546936 B TWI546936 B TW I546936B TW 101148662 A TW101148662 A TW 101148662A TW 101148662 A TW101148662 A TW 101148662A TW I546936 B TWI546936 B TW I546936B
Authority
TW
Taiwan
Prior art keywords
nanowire
channel region
nanowires
semiconductor
layer
Prior art date
Application number
TW101148662A
Other languages
English (en)
Other versions
TW201342580A (zh
Inventor
金世淵
科林J 庫恩
泰希爾 迦尼
阿納德S 摩西
安娜麗莎 卡佩拉尼
史蒂芬M 席
拉斐爾 瑞歐斯
葛林A 葛拉斯
Original Assignee
英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾公司 filed Critical 英特爾公司
Publication of TW201342580A publication Critical patent/TW201342580A/zh
Application granted granted Critical
Publication of TWI546936B publication Critical patent/TWI546936B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

互補式金屬氧化物半導體奈米線結構 發明領域
本發明是關於奈米線半導體裝置,特別是指金屬氧化物半導體(CMOS)奈米線結構。
發明背景
在過去數十年,積體電路特徵的尺寸為日益發展的半導體產業背後的驅動力,越來越小的特徵尺寸可增加在半導體晶片有限的實際容量下的功能性單元的密度,例如,縮小電晶體尺寸可容許晶片上數量增加的記憶體裝置合併,使產品的製造有容量的提升。然而,欲追求更多容量並非沒有困難,使每一裝置的性能理想化的必要性,逐漸變得重要。
當微電子裝置的尺寸超過15奈米的關卡時,維持遷移率改善與短通道控制在裝置的製造上形成了挑戰,用於製造裝置的奈米線提供了改善的短通道控制,例如,矽鍺(SixGe1-x)奈米線通道結構(x<0.5)於可觀的能隙(Eg)提供了加強的遷移率,其適合使用於許多傳統用於較高電壓運作的產品。再者,矽鍺(SixGe1-x)奈米線通道(x>0.5)於較低 的能隙提供加強的遷移率(適合於例如行動/手持領域的低電壓產品)。
許多不同的技術已被企圖用以改善電晶體的遷移率,然而,對於半導體裝置而言,電子及/或電洞遷移率的改善,仍是被需要的重要改善。
發明概要
本發明的實施例包括互補式金屬氧化物半導體(CMOS)奈米線結構。
在一個實施例中,一半導體結構包括一第一半導體裝置。該第一半導體裝置包括一第一奈米線,設置於一基材上。該第一奈米線具有一中點於該基材上方一第一距離,並且包含一分離通道區,且源與汲區位於該分離通道區的每一側。一第一閘極電極堆疊完全圍繞該第一奈米線的分離通道區。半導體結構亦包括一第二半導體裝置。該第二半導體裝置包括一第二奈米線,設置於該基材上。該第二奈米線具有一中點於該基材上方一第二距離,並且包含一分離通道區,且源與汲區位於該分離通道區的每一側。該第一距離不同於該第二距離。一第二閘極電極堆疊完全圍繞該第二奈米線的分離通道區。
在另一個實施例中,一半導體結構包括一第一半導體裝置。該第一半導體裝置包括一第一奈米線,設置於一基材上,該第一奈米線包含一分離通道區,且源區與汲區位於該分離通道區的每一側,該分離通道區包含一半導 體基礎材料。一第一閘極電極堆疊完全圍繞該第一奈米線的分離通道區。該半導體裝置亦包括一第二半導體裝置。該第二半導體裝置包括一第二奈米線,設置於該基材上,該第二奈米線包含一分離通道區,且源區與汲區位於該分離通道區的每一側。該分離通道區包含一半導體基礎(backbone)材料以及一不包括於該第一半導體裝置的通道區內的圍繞包覆材料。一第二閘極電極堆疊完全圍繞該第二奈米線的分離通道區。
在另一實施例中,一製造CMOS奈米線半導體結構的方法包括形成一第一主動層於一基材上,該第一主動層具有一第一晶格常數。一第二主動層形成於該第一主動層上,該第二主動層具有一大於該第一晶格常數的第二晶格常數。一第一奈米線形成自該第一主動層,該第一奈米線包括一分離的通道區域,且源區與汲區分別未於該分離的通道區域的每一側。一第二奈米線形成自該第二主動層,該第二奈米線包括一分離的通道區域,且源區與汲區分別未於該分離的通道區域的每一側。一第一閘極電極堆疊形成以完全圍繞該第一奈米線的分離通道區域。一第二閘極電極堆疊形成以完全圍繞該第二奈米線的分離通道區域。
100、200、500、500’‧‧‧半導體裝置
102、202、302、502‧‧‧基材
104A、104B、104C‧‧‧奈米線
106‧‧‧通道區域
108‧‧‧閘極電極堆疊
110/112‧‧‧源區與汲區
114‧‧‧接觸件
116‧‧‧間隔件
118‧‧‧中間半導體材料
200A‧‧‧第一半導體裝置
200B‧‧‧第二半導體裝置
204、205、504、504’、505、505’‧‧‧奈米線堆疊
204A、504A、504A’‧‧‧第一奈米線
205A、602A、602B、602C、604A、604B、604C‧‧‧奈米線
206、506‧‧‧絕緣層
300‧‧‧初始結構
302A‧‧‧晶體基材矽基材
302B‧‧‧絕緣二氧化矽層
304、308‧‧‧矽層
306、310‧‧‧矽鍺層
312‧‧‧鰭片狀結構
314A、314B、314C‧‧‧犧牲閘
316‧‧‧犧牲閘氧化層
318‧‧‧犧牲多晶矽閘層
320‧‧‧區
322‧‧‧間隔件
324‧‧‧中間層介電層
326、328‧‧‧溝渠
330‧‧‧NMOS閘極堆疊
332‧‧‧PMOS閘極堆疊
402‧‧‧鰭片
404、408‧‧‧矽鍺層
406、410‧‧‧矽層
412‧‧‧晶體基材
500A‧‧‧第一半導體區
500B‧‧‧第二半導體區
505A、505A’‧‧‧第二奈米線
500A’‧‧‧第一半導體裝置
500B’‧‧‧第二半導體裝置
520‧‧‧半導體基礎材料
530、606C‧‧‧包覆材料層
600A、600B、600C‧‧‧基材
700‧‧‧電腦裝置
702‧‧‧板
704‧‧‧處理器
706‧‧‧通訊晶片
M1、M2‧‧‧中點
d1‧‧‧第一距離
d2‧‧‧第二距離
圖1A示出根據本發明的一個實施例的奈米線基礎的半導體結構的3維截面圖;圖1B示出沿著根據本發明的一個實施例的圖1A 的奈米線基礎半導體結構的a-a’軸的通道截面圖;圖1C示出沿著根據本發明的一個實施例的圖1A的奈米線基礎半導體結構的b-b’軸的間隔件截面圖;圖2示出根據本發明的一個實施例的一CMOS奈米線基礎半導體結構的截面圖;圖3A至圖3F示出於根據本發明的一個實施例的製造一CMOS奈米線半導體結構的方法中,代表不同步驟的3維截面圖;圖4示出根據本發明的一個實施例的另一CMOS奈米線基礎半導體結構的3維截面圖;圖5A與圖5B示出根據本發明的另一實施例的製造另一CMOS奈米線半導體結構的方法中,代表不同步驟的截面圖;圖6示出於製造根據本發明的一個實施例的一CMOS奈米線結構中,數個形成一包覆層於一奈米線上的方法的截面圖;圖7示出根據本發明的一個成品的一電腦裝置。
詳細說明
互補金屬氧化物半導體(CMOS)奈米線結構被描述。在以下的說明中,數個特定的細節被提出,例如特定的奈米線組成與材料結構,以提供本發明實施例完整的了解。本發明的實施例可在不需要這些特定的細節的情況下實施,對於熟知該技術領域者而言是顯而易見的。在其他 的範例中,為了避免非必要的模糊本發明的實施例,已知的特徵,例如積體電路設計佈局,並不詳細說明。再者,將可被了解的是,圖式中的各個實施例僅是示意描繪,並不必然的依照其比例繪製。
本發明的一或更多實施例是指利用用於NMOS與PMOS的獨立通道材料的積體環繞式閘極奈米線CMOS結構,高性能、低漏損CMOS電晶體技術製程於本文描述。在一個範例中,不同的通道材料被用於NMOS/PMOS,開始於一普通的多層磊晶堆疊。在另一個範例中,獨立的理想化通道材料藉由成長包覆磊晶層於基礎線上以用於較高電子與電洞遷移率結構。
當相同的通道材料被用於NMOS與PMOS兩者,同時增加電子與電洞遷移率的困難在此被解決。裝置性能可藉由使用應變的方式、較高的遷移率通道材料或較高的遷移率通道方位加強,例如嵌入式矽鍺(e-SiGe)、嵌入式矽碳(e-SiC)、應變記憶、接觸蝕刻停止層(CESL)為目前的應變方式。矽鍺、鍺與III-V族、不同方位與不同應變的矽於矽鍺(或相反)上亦已被研究。
在一個實施例中,與其針對NMOS與PMOS分離的成長磊晶薄膜或配合分離的嵌入式應變層,一多重磊晶層結構(超晶格)被製造並且接著拆開使用一第一部份供NMOS以及一第二部分供PMOS奈米線裝置。由於應變鬆弛的問題,特別是鰭片的外型越高,成長厚的應變層是困難的。應變鬆弛於磊晶層可導致過度的缺陷並且降低裝置性 能、效果與可靠度。使用超晶格(例如矽/矽鍺)對於形成不同材料的良好控制應變層是已知的。在一個實施例中,超晶格首先被製造並且接著被個別區隔為針對NMOS或PMOS以最大化遷移率。
奈米線/奈米帶結構可藉由從多層磊晶堆疊的犧牲層的選擇性蝕刻而形成。磊晶層可用作一通道或選擇性的移除以針對環繞式閘極結構形成一縫隙。位在磊晶線下方的絕緣層可提供電性絕緣並且針對環繞式閘極結構形成一底部間隙。最簡單的CMOS沉積結構應用以相同材料製造的N/PMOS通道。此製程較易於製造,其應用單一選擇性的蝕刻。然而,應變技術對於促進裝置性能是需要的。例如,當矽被用於通道材料時,PMOS藉由壓縮應力加強而NMOS藉由一沿著通道方向的拉伸應力加強,以加強載體嵌移率。
根據本發明的一個實施例,一起始材料堆疊的獨特特徵被利用以結合不同且被最佳化以獲得較高的遷移率的NMOS與PMOS通道材料。例如,在一個實施例中,一NMOS裝置的犧牲層被用作一PMOS通道,而一PMOS裝置的犧牲層被用作一NOMS通道。由於犧牲層可在製程中被移除,通道材料的個別選擇以及最佳化變得可能。
本發明的一或更多實施例是在於NMOS或PMOS電晶體或兩者的通道遷移率的改善。遷移率可被利用例如在通道區域的應變改善。因此,此處所說明的一或更多方式係針對NMOS與PMOS電晶體兩者,在通道區域提供適當的應變。在一個實施例中,應變的NMOS與PMOS奈米線被 設置。
在第一方面,圖1A示出根據本發明的一個實施例,一奈米線基礎的半導體結構的三維截面圖。圖1B示出圖1A的該奈米線基礎的半導體結構沿著a-a’軸的通道的截面。圖1C示出圖1A的該奈米線基礎的半導體結構沿著b-b’軸的間隔件的截面圖。
參閱圖1A,一半導體裝置100包括一或更多縱向堆疊的奈米線(104),其設置於一基材102上。此處的實施例是著重在單線裝置與多線裝置兩者。如一範例,三條奈米線基礎的裝置具有如圖所示的奈米線104A、104B、104C。為便於說明,奈米線104A用以做為專注於一條奈米線的範例說明。需了解的是,一條奈米線的功效已說明,基於複數條奈米線的實施例對於每一條奈米線也具有相同的功效。
每一奈米線104包括一設置於奈米線內的通道區域106。該通道區域106具有一長度L,參閱圖1B,該通道區域亦具有一至長度L的矩形邊緣。參閱圖1A、圖1B,一閘極電極堆疊108圍繞每一通道區域106的整個邊緣。該閘極電極堆疊108包括一閘極電極,其與一設置於通道區域106與閘極電極(如圖1B圍繞通道區域106的虛線所示)之間的閘極介電層一起。通道區域106是分離的,其完全被閘極電極堆疊108所圍繞。也就是,任何例如下方的基材材料或上方的通道製造材料的中間材料均被移除。因此,在實施例中具有複數奈米線104,奈米線的通道區域106亦如圖1B所 示相對於彼此分離。
再度參閱圖1A,每一奈米線104亦包括源區與汲區110/112,其設置於奈米線位於通道區域104的每一側。一對接觸件114設置覆蓋源/汲區110/112。在一特定的實施例中,該對接觸件114如圖1A所示,圍繞每一源/汲區110/112的整個邊緣。亦即,在一個實施例中,源/汲區110/112是分離的,其完全被接觸件114所圍繞而沒有任何例如位在下方的基材材料或位在上方的通道製造材料的中間材料。因此,在此具有複數奈米線104的實施例,奈米線的源/汲區110/112亦相對於彼此分離。
再參閱圖1A,在一個實施例中,半導體裝置100更包括一對間隔件116。該對間隔件116設置於閘極電極堆疊108與該對接觸件114之間。如前述,通道區域與源/汲區在至少數個實施例中,是被分離的。然而,並非奈米線104的所有區域均需要被分離。例如,參閱圖1C,奈米線104A-104C在間隔件116下方的位置並未分離。在一個實施例中,奈米線104A-104C的堆疊具有中間半導體材料118介於其間,例如矽鍺介於矽奈米線之間,或反之亦然。如以下圖3A至3F的相關說明。在一個實施例中,奈米線104A的底部仍與基材102的一部分接觸,例如與設置在一大塊基材的一絕緣層的一部分接觸。因此,在一個實施例中,在其中一間隔件或兩間隔件下方的複數縱向堆疊的奈米線的一部分是非分離的。
雖然前述說明的裝置100是單一裝置,例如一 NMOS或一PMOS裝置,CMOS結構亦可被形成為包括設置於或位於相同基材上方的NMOS與PMOS奈米線基礎裝置兩者。例如圖2示出根據本發明的一實施例的一奈米線基礎的CMOS半導體結構的截面圖。
參閱圖2,一半導體結構200包括一第一半導體裝置200A。該第一半導體裝置200A包括一第一奈米線(例如奈米線堆疊204最底部的奈米線204A),其設置於一基材202上方,該第一奈米線204A具有一中點M1位在基材202上方的一第一距離d1。一第一閘極電極堆疊(圖未示)可被形成於完全圍繞第一奈米線204A。亦即,一但閘堆疊被包括,第一奈米線204A具有一分離的通道區域,且源與汲區位在分離的通道區域的每一側。
半導體結構200亦包括一第二半導體裝置200B。該第二半導體裝置200B包括一第二奈米線(奈米線堆疊205最底部的奈米線205A),其設置於基材202上方。該第二奈米線205A具有一中點M2位在基材202上方的一第二距離d2。一第二閘極電極堆疊(圖未示)可被形成於完全圍繞第二奈米線205A。亦即,一但第二閘極堆疊被包括,第二奈米線具有一分離的通道區域,且源與汲區位在分離的通道區域的每一側。
再參閱圖2,第一距離d1不同於第二距離d2。亦即,裝置100A、200B的中點M1、M2並不對齊。反而,在一個實施例中,中點是錯開的,且當複數線的堆疊(如204、205)形成時,每個裝置100A、200B的線是彼此互相錯開的。 可被了解的是,圖2的虛線可表示裝置100A、200B在一普通的基材202上相當小或相當大的間隔距離。在一個實施例中,一絕緣層206例如氧化層,將奈米線204、205與基材202隔絕,如圖2所示。
在一個實施例中,第一奈米線是由一例如矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺或III-V族化合物組成,但不以此為限,第二奈米線是由一不同的材料組成,例如矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺或III-V族化合物,但不以此為限。在這樣的一個實施例中,第一半導體裝置為NMOS裝置,第二半導體裝置為PMOS裝置。在一個實施例中,在考慮針對不同的載體形式例如電子或電洞的遷移率益處下,任何適合的前述材料的結合均可被使用。
在此實施例中,第一與第二奈米線設置於一具有一中間介電層設置於其上的塊晶體基材上。中間介電層可由例如下方鰭片氧化(UFO)、埋植氧化結構(BOX)或介電質替代物製成。在一實施例中,第一與第二奈米線設置於一不具有中基介電層設置於其上的塊晶體基材上方。另一實施例中,是使用一矽鍺/矽緩衝層。
在一實施例中,每一第一與第二奈米線的源與汲區是分離的,第一半導體裝置還包括一第一對接觸件,其完全圍繞第一奈米線分離的源與汲區,而第二半導體裝置還包括一第二對接觸件,其完全圍繞第二奈米線分離的源 與汲區。在這樣的實施例中,一第一對間隔件設置於第一閘極電極堆疊與第一對接觸件之間,一第二對間隔件設置於第二閘極電極堆疊與第二對接觸件之間。在這樣的特定實施例中,每一第一與第二奈米線的一部分是非分離的。
在一個實施例中,第一半導體裝置還包括一或更多額外的奈米線,其與第一奈米線縱向堆疊,而第二半導體裝置還包括一或更多額外的奈米線,其與第二奈米線縱向堆疊。更多籠統的實施例提供於下方。
再參閱圖1A與圖2,基材102或202可由一適於半導體裝置製造的材質組成。在一個實施例中,基材102或202包括一下部的塊晶體基材,其為單晶材質組成,材質可包括但不限於:矽、鍺、矽鍺或III-V族的化合物半導體材料。一上部絕緣層,其為包括但不限於二氧化矽、氮化矽或氮氧化矽組成並且設置於下部塊晶體基材上。因此,該結構100或200可由一開始的絕緣體上半導體基材製成。如此,在一個實施例中,該等複數縱向堆疊的奈米線104、204、205是設置於一具有中間介電層設置於其上的塊晶體基材上方,如圖1A-1C、圖2所示。或者,結構100或200是直接由一塊晶體基材形成,而所在的氧化物是用以形成電性絕緣部分,位於所述的上部絕緣層上方。如此,在另一實施例中,該等複數縱向堆疊的奈米線104、204、205是設置於一不具有中間介電層設置於其上的塊晶體基材上方。
在一個實施例中,奈米線104、204或205尺寸可為線狀或帶狀(以下描述的用語),並且具有方型或圓角。在 一個實施例中,奈米線104、204或205為一例如矽、矽鍺或其結合組成,但並不以此為限。在一個這樣的實施例中,奈米線為單晶。例如針對一矽奈米線,一單晶奈米線可基於一(100)的整體方位,例如具有一位於z方向的<100>平面。在一個實施例中,奈米線104、204或205的尺寸如圖1B的截面圖所示,是位於奈米尺度。例如在一特定的實施例中,奈米線最小的尺寸少於約20奈米。根據本發明的一個實施例,半導體裝置或結構的一或更多奈米線包括一或更多單軸的應變奈米線。單軸應變奈米線或複數奈米線可為具有拉伸應變或具有壓縮應變的單軸應變,例如分別針對NMOS或PMOS。
每一通道區域106的寬度與高度大致相同,如圖1B所示,然而,其不需如此。例如,在另一實施例中(圖未示),奈米線104(或204或205)的寬度實質大於高度。在一特定的實施例中,寬度接近2-10倍大於高度。具備此外型的奈米線可視為奈米帶。在一替代的實施例中(圖亦未示),奈米帶是呈垂直方向。亦即,每一奈米線104(或204或205)具有一寬度與一高度,寬度實質小於高度。在一特定的實施例中,高度接近2-10倍大於寬度。
在一個實施例中,再參閱圖1A,閘極電極堆疊108的閘極電極是由一金屬閘極組成,且該閘極介電層是由一高介電係數材料組成。例如在一個實施例中,閘極介電層是由一例如氧化鉿、氮氧化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化 釔、氧化鋁、鈧鉭酸鉛、鈮鋅酸鉛或其組合的材料組成,但不以此為限。再者,閘極介電層的一部分可包括一形成自奈米線104的頂部少數層的原生氧化層。在一個實施例中,閘極介電層為高介電係數的一頂部與氧化的半導體材料組成的一底部組成。在一個實施例中,閘極介電層為氧化鉿的一頂部與二氧化矽或氮氧化矽的一底部組成。
在一個實施例中,閘極電極由一金屬層組成,其為例如但不限於:氮化金屬、碳化金屬、矽化金屬、鋁化金屬、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電氧化金屬。在一特定的實施例中,閘極電極由一無功函數設定的填充材料形成於一金屬功函數設定層上。
在一個實施例中,間隔件116由一絕緣介電材料組成,其為例如但不限於:二氧化矽、氮氧化矽或氮化矽。接觸件114於一個實施例中,由一金屬群組組成。金屬群組可為純金屬,例如鎳或鈷,或為合金,例如一金屬-金屬合金或一金屬-半導體合金(例如一矽化物金屬材料)。可被了解的是,其他導電材料可被用以形成接觸件114。
另一方面,製造CMOS奈米線半導體結構的方法被提供。例如圖3A-圖3F示出代表根據本發明的一個實施例,在一製造CMOS奈米線半導體結構的方法的不同操作的三維截面圖。
一製造一奈米線半導體結構的方法在一個實施例中,可包括形成一PMOS奈米線基礎的半導體裝置與一鄰近的NMOS奈米線基礎的半導體裝置兩者。每一裝置藉由 形成一奈米線於一基材上方而製造。在一特定的實施例中,最後針對每一NMOS與PMOS奈米線基礎的半導體裝置提供兩奈米線結構。圖3A示出一初始結構300具有一基材302(例如,由一具有一絕緣二氧化矽層302B於其上的塊基材矽基材302A組成)以及一矽層304/矽鍺層306/矽層308/矽鍺層310堆疊設置於其上。其他材料與特定結合的可能性提供於圖2。在一個範例性的實施例中,結構300是藉由成長矽鍺與矽層於一初始的絕緣層矽晶圓(矽的部分為層304)上而提供。
參閱圖3B,矽層304/矽鍺層306/矽層308/矽鍺層310堆疊的一部分以及二氧化矽層302B的一頂部是形成一鰭片狀結構312,例如透過一遮罩與電漿蝕刻製程。因此,在一個實施例中,一自由表面藉由圖形形成(pattern)以提供鰭片狀結構312而形成於每一矽與矽鍺層的每一側。可被了解的是,任何適合的圖形形成(pattern)製程可被用於形成結構312。
在一特定的範例示出三閘結構中的組成,圖3C示出具有三個犧牲閘314A、314B、314C設置於其上的鰭片狀結構。在這樣的實施例中,三個犧牲閘314A、314B、314C為一犧牲閘氧化層316與一犧牲多晶矽閘層318組成,其為例如覆蓋設置且透過一電漿蝕刻製程形成。
在形成犧牲閘314A、314B、314C之後,間隔件可形成於三個犧牲閘314A、314B、314C的側牆,參雜可被執行於鰭片狀結構312的區320,如圖3C所示(例如尖端及/ 或源與汲形式的參雜),且一中間層介電層可形成以覆蓋三個犧牲閘314A、314B、314C。中間層介電層可接著研磨以使三個犧牲層314A、314B、314C重新外露。為了替代閘或後閘極製程。再參閱圖3D,三個犧牲閘314A、314B、314C與間隔件322以及中間層介電層324一起外露。
犧牲閘314A、314B、314C可接著被移除,例如於一替代閘或後閘極製程流程,以使鰭片狀結構312的通道部分外露。參閱圖3E的左手部分,在此範例中,鰭片狀結構312被用以製造一NMOS裝置,犧牲閘314A、314B、314C被移除以提供溝渠326。矽鍺層306、310藉由溝渠326外露的部分以及絕緣的氧化矽層302B外露的部分被移除,以留下矽層304、308分離的部分。參閱圖3E的右手部分,在此範例中,鰭片狀結構312被用以製造一PMOS裝置,犧牲閘314A、314B、314C被移除以提供溝渠328。矽層304、308藉由溝渠328外露的部分被移除以遺留下矽鍺層306、310分離的部分。
在一個實施例中,矽層304、308被藉由溼式蝕刻選擇性的蝕刻以選擇性的移除矽304、308而不蝕刻矽鍺奈米線結構306、310。這樣的蝕刻化學作為液態氫氧化物化學,包括氫氧化氨與氫氧化鉀,例如,可被利用以選擇性的蝕刻矽。在另一個實施例中,矽鍺層306、310被藉由溼式蝕刻選擇性的蝕刻以選擇性的移除矽鍺306、310而不蝕刻矽奈米線結構304、308。這樣的蝕刻化學作為羧酸/硝酸/氫氟酸(HF)化學,以及檸檬酸/硝酸/氫氟酸(HF)化學,例 如,可被利用以選擇性的蝕刻矽鍺。因此,矽層可被由鰭片狀結構312移除以形成矽鍺奈米線,或矽鍺層可被由鰭片狀結構312移除以形成矽通到奈米線。
圖3E所示的矽層(NMOS)304、308或矽鍺層(PMOS)分離的部分。在一個實施例中,在一奈米線基礎結構中最終將變成通道區域。因此,在圖3E所示的製程階段,通道工程或調整可被執行。例如,在一個實施例中,在圖3E所示的左手部分的矽層304、308的分離部位,或在圖3E所示的右手部分的矽鍺層306、310的分離部位,利用氧化與蝕刻製程薄化。這樣的蝕刻製程可在線被藉由蝕刻相對的矽或矽鍺層而分離的同時進行。因此,形成自矽層304、308或矽鍺層306、310的初始線開始較厚並且薄化至一適當尺寸供一位於奈米線裝置中的通道區域,獨立於裝置的源與汲區的尺寸。
接續如圖3E分離的通道區域的形成,高介電係數閘極介電層與金屬閘極製程可被執行並且源與汲接觸件可被加入被加入。在特定的範例中示出三個閘極結構覆蓋兩個矽奈米線(NMOS)或覆蓋兩個矽鍺奈米線(PMOS)的結構。圖3F示出接續一NMOS閘極堆疊330或一PMOS閘極堆疊332的沉積的結構。該閘極堆疊可為高介電係數閘極介電層以及個別一N型或P型金屬閘極電極層組成。此外,圖3F示出在固定的閘極堆疊的形成後,中間層介電層324的接著移除的結果,接觸件可形成於中間層介電層324部分遺留於圖3E中的位置。在一個實施例中,在移除324與形成 接觸件334的製程過程中的某些階段,源與汲工程亦可被執行。
因此,或許在更廣泛的用語中,在一個實施例中,一製造一奈米線半導體結構的方法包括形成一第一主動層於一基材上方。該第一主動層具有一第一晶格常數。一第二主動層接著形成於第一主動層上。第二主動層具有一大於第一晶格常數的第二晶格常數。在這樣的一個實施例中,第一主動層是由矽組成,第二主動層是由矽鍺(SixGey,其中0<x<100,且0<y<100)組成。主動層的數目可停止於此,例如,具有一單線PMOS裝置以及一單線NMOS裝置的一CMOS結構。或者,如前述的例子,額外的第一與第二主動層可重複以最終提供多線裝置。
在一個實施例中,第一主動層是形成於一具有一中間介電層設置於其上的塊晶體基材上方,該第一主動層是形成於中間介電層上。在一個這樣的實施例中,第一主動層為矽組成。該方法接著包括自第一主動層形成一第一奈米線。第一奈米線包括一分離的通道區域,且源/汲區位在分離的通道區域的每一側。一第二奈米線自第二主動層形成。第二奈米線包括一分離的通道區域,且源與汲區位在分離的通道區域的每一側。在一個實施例中,自第一主動層形成第一奈米線包括選擇性的移除第二主動層的一部分。同時,自第二主動層形成第二奈米線包括選擇性的移除第一主動層的一部分。
該方法接著包括形成一第一閘極電極堆疊以完 全圍繞第一奈米線分離的通道區域。一第二閘極電極堆疊形成以完全圍繞第二奈米線分離的通道區域。其後的程序操作例如接觸結構與後端互連結構可接著被執行。
在另一個實施例中,結構與前述製造於塊晶圓上以取代絕緣層覆矽(SOI)晶圓的矽奈米線結構相似。例如,圖4示出根據本發明的一個實施例的另一CMOS奈米線基礎半導體結構的三維截面視圖。
參閱圖4的一塊基材412,例如塊矽基材,其部分圖形形成(pattern)入一鰭片402並且用於對矽鍺層(PMOS)404、408提供一模板,或被包括與矽層(NMOS)406、410一起。鰭片402被與線隔離的基材412使用參雜(例如以使底部線為一omega-FET)或一柱體在鰭片氧化製程之下接續鰭片圖形形成(pattern)。沒有中間物的整體介電層是設置於第一主動層與塊基材的緩衝層之間。在一特定的實施例中,矽奈米線與矽鍺奈米線兩者被製造,例如以交錯的方式,如圖4所示。
在第二層面,對照上述實施例,對應一CMOS結構的NMOS與PMOS奈米線形成自一相同的半導體層。例如圖5A與圖5B示出根據本發明的另一實施例,在製造另一CMOS奈米線半導體結構的方法中,代表不同操作的截面圖。可被了解的是,開始的結構與相關的製程參數可與圖3A至3F所描述的相似或相同。
參閱圖5A,一半導體結構500包括一第一半導體區500A。第一半導體區500A包括一第一奈米線(如奈米線堆 疊504的底部奈米線504A)設置於一基材502上。第一奈米線504A具有一中點M1位在基材502上方的一第一距離d1。半導體結構500亦包括一第二半導體區500B。第二半導體區500B包括一第二奈米線(如奈米線堆疊505的底部奈米線505A)設置於基材502上方。第二奈米線505A具有一中點M2位在基材502上方的一第二距離d2。
再參閱圖5A,第一距離d1與第二距離d2相同。亦即,半導體區500A、500B的中點M1、M2對齊。如此,在一個實施例中,當複數線(如504、505)的堆疊形成時,每一半導體區500A、500B的線相對於另一者是對齊的。例如,由於每一對應的線是形成自一相同的半導體層。可被了解的是,圖5A的虛線可表示為在一普遍的基材502上,半導體區500A、500B之間的一相對小或相當大的間隔距離。在一個實施例中,一絕緣層506,例如一氧化層,隔絕奈米線504、505與基材502,如圖5A所示。
圖5A所示的結構可視為一基礎結構。如以下關於圖5B的描述,基礎結構可藉由圍繞部分基礎結構的磊晶包覆層的長出以調節通道材料。磊晶包覆層的添加可提供遷移率的益處。在犧牲的層被移除以形成圖5A的結構後,一磊晶包覆層長出於NMOS、PMOS或兩者。為了加寬線之間的間隙並且維持足夠的空間以供磊晶包覆、閘極電極與閘極金屬,基礎線可藉由濕式蝕刻、乾式蝕刻、氧化或氫退火而薄化,如以下圖6的相關內容所述。
再參閱圖5B,一半導體結構500’包括一第一半導 體裝置500A’。第一半導體裝置500A’包括一第一奈米線(如奈米線堆疊504’的底部奈米線504A’)設置於基材502上。第一奈米線504A’具有一分離的通道區域,由一半導體基礎材料520組成。該半導體結構500’亦包括一第二半導體裝置500B’。第二半導體裝置500B’包括一第二奈米線(如奈米線堆疊505’的底部奈米線505A’)設置於基材502上。第二奈米線505A’具有一分離的通道區域,由一半導體基礎材料520組成。
然而,第一奈米線504A’分離的通道區域亦包括一包覆材料層530,其不包括於第二半導體裝置500B’的分離的通道區域中。一第一閘極電極堆疊(圖未示)可形成以完全圍繞第一奈米線504A’。包括圍繞包覆層520。亦即,一但閘極堆疊被包括,第一奈米線504A’具有一分離的通道區域,且源與汲區位於分離的通道區域的每一側。一第二閘極電極堆疊(圖未示)可形成以完全圍繞第二奈米線505A’。亦即,一但第二閘極堆疊被包括,第二奈米線505A’具有一分離的通道區域,且源與汲區位於分離的通道區域的每一側。如此,圖5B的結構可被用於CMOS裝置的生產。在一個實施例中,第一奈米線用於NMOS裝置製造,第二奈米線用於PMOS裝置製造。在另一個實施例中,第一奈米線用於PMOS裝置製造,第二奈米線用於NMOS裝置製造。
在一個實施例中(圖未示),第二奈米線還包括一圍繞包覆材料層,其不同於第一奈米線的包覆材料層。在一個實施例中,第一奈米線用於NMOS裝置製造,第二奈 米線用於PMOS裝置製造。在另一個這樣的實施例中,第一奈米線用於PMOS裝置製造,第二奈米線用於NMOS裝置製造。在一個實施例中,第二奈米線不包括一圍繞的包覆材料層,如圖5B所示。在這樣的一個實施例中,第一奈米線用於NMOS裝置製造,第二奈米線用於PMOS裝置製造。在另一個這樣的實施例中,第一奈米線用於PMOS裝置製造,第二奈米線用於NMOS裝置製造。因此,裝置可利用相同的基礎材料但不同的整個半導體組成製造。
在一個實施例中,第一奈米線與第二奈米線的半導體基礎材料形成自相同層,例如圖5A與圖5B所示,該些層彼此不相錯開。在一個實施例中,第一奈米線的半導體基礎材料具有一小於第一奈米線的半導體基礎材料的直徑。在這樣的一個實施例中,對應的NMOS/PMOS奈米線的中點對齊但線彼此具有不同的直徑。
在一個實施例中,半導體基礎材料為矽、應變矽、矽鍺(SixGey,其中0<x<100、且0<y<100)、碳化矽、碳參雜矽鍺或III-V族化合物之一,但不以此為限。在該實施例中,包覆材料層為一不同的材料組成,如矽、應變矽、矽鍺(SixGey,其中0<x<100、且0<y<100)、碳化矽、碳參雜矽鍺或III-V族化合物,但不以此為限。
雖然取代閘的製程在上方的一些實施例中已被描述,在另一實施例中,裝置是形成於第一閘極結構的形成是固定閘極結構。並且,雖然每一線的單一包覆層在上方的一些實施例中已被描述,多於一個包覆層也可被使 用,例如針對單一奈米線形成包覆層堆疊。
在裝置製造的過程中,多樣的方式可被用以提供包覆材料層於一或更多奈米線上。例如,圖6示出在根據本發明的一實施例的NMOS奈米線結構的製造中,數個方式以形成一包覆層於一奈米線的截面圖。
參閱圖6的製程A,於數個奈米線上包覆層形成之前的基礎外型與尺寸工程包括首先,於一第一操作中,數個分離的奈米線602A設置於一基材600A上方。於一第二操作中,一等向蝕刻(例如一等向濕式或乾式蝕刻選擇性用於奈米線602A的材料)被應用於提供具有減少的尺寸但相較於奈米線602A大概相同的外型的奈米線604A,例如具有圓角的方形或矩形。在一第三操作中,一包覆材料層606A(例如一由不同於奈米線602A的半導體材料的半導體材料組成的層)隨著奈米604A線的數個區塊形成,例如藉由晶膜成長製程。
參閱圖6的製程B,於數個奈米線上包覆層形成之前的基礎外型與尺寸工程包括首先,於一第一操作中,數個分離的奈米線602B設置於一基材600B上方。於一第二操作中,一蝕刻偏好特定的晶面方位(例如針對奈米線602B的材料選擇性濕式或乾式蝕刻且偏好特定晶面方位)被應用以提供具有減少的尺寸且相較於奈米線602B不同的外型的奈米線604B,例如鑽石型。在一第三操作中,一包覆材料層606B(例如一由不同於奈米線602B的半導體材料的半導體材料組成的層)隨著奈米線604B的數個區塊形成,例如 藉由晶膜成長製程。
參閱圖6的製程C,於數個奈米線上包覆層形成之前的基礎外型與尺寸工程包括首先,於一第一操作中,數個分離的奈米線602C設置於一基材600C上方。於一第二操作中,一伴隨著氧化/氫化退火的等向蝕刻(例如針對奈米線602C的材料,選擇性等向濕式或乾式蝕刻且由氧化/氫化退火接續)被應用以提供具有減少的尺寸且相較於奈米線602C不同的外型的奈米線604C,例如圓形。在一第三操作中,一包覆材料層606C(例如一由不同於奈米線602C的半導體材料的半導體材料組成的層)隨著奈米線604C的數個區塊形成,例如藉由晶膜成長製程。
因此,參閱圖6的A、B、C流程,基礎外型設計可被實施於磊晶成長。基礎材料的截面外型與晶向可被設計以改善磊晶性能遷移率以及獲得改善的間隙填充。基礎外型設計可包含使用不同的方法例如等向蝕刻、蝕刻偏向特定面方位或配合氧化/氫化退火的等向蝕刻。
總而言之,本發明的一或更多實施例包括針對PMOS奈米線基礎裝置用於改善的電洞遷移率的壓縮應變,以及針對NMOS奈米線基礎裝置用於改善的電子遷移率的拉伸應變。在一個實施例中,應變矽與應變矽鍺裝置形成自這樣的層以改善或最大化裝置性能。在一個實施例中,NMOS與PMOS單軸應變奈米線或奈米帶裝置是藉由一或更多前述的方式製造於一普通基材上或上方。PMOS電晶體可包括具有沿著電流方向的單軸壓縮應變的矽鍺 (SiGe),而NMOS電晶體可包括具有沿著電流方向的單軸拉伸應變的矽。
圖7示出根據本發明的一實施例的電腦裝置700。該電腦裝置700容納一板702。該板702可包括數個組件,包括處理器704與至少一通訊晶片706,但不以此為限。處理器704物理且電耦接至板702。在某些實施例中,該至少一通訊晶片706亦物理且電耦接至板702。在還一實施例中,通訊晶片706為處理器704的一部分。
視其應用,電腦裝置700可包括其他並不物理及電耦接至板702的組件。該些其他組件包括揮發性記憶體(如DRAM)、非揮發性記憶體(如ROM)、閃存記憶體、圖像處理器、數位信號處理器、密碼處理器、晶片組、天線、觸控螢幕顯示器、觸控螢幕控制器、電池、聲音編碼器、影像編碼器、電源放大器、全球定位系統裝置、指南針、加速度計、迴轉儀、揚聲器、照相機與一主要儲存裝置(例如硬碟、光碟(CD)、數位多媒體光碟(DVD)等等)。
通訊晶片706提供無線通訊以將數據傳輸至電腦裝置700或自電腦裝置700傳輸。此處「無線」用語及其衍生意義可描述電路、裝置、系統、方法、技術、通訊頻道等,其可透過使用調整的電磁輻射經由非固態媒介傳輸數據。此用語並不表示相關的裝置不包含任何線,雖然在某些實施例中其不包含。通訊晶片706可實施任何數種的無線標準或協定,包括Wi-Fi(IEEE 802.11家用)、WiMAX(IEEE 802.16家用)、IEEE 802.20、長期演進技術(LTE)、Ev-DO, HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽及其衍生物,以及任何其他指定為3G、4G、5G或更高的無線協定,但不以此為限。電腦裝置700可包括數個通訊晶片706。例如一第一通訊晶片706可做為短距離無線通訊例如Wi-Fi與藍芽,而一第二通訊晶片706可做為長距離無線通訊,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
電腦裝置700的處理器704包括一積體電路晶片封裝於處理器704中。在本發明的某些實施例中,處理器的積體電路晶片包括一或更多裝置,例如MOS-FET電晶體,其內建於根據本發明的實施例中。「處理器」的用語可指任何裝置或一裝置用於處理來自於登錄機及/或記憶體的電子數據以將電子數據轉換為其他可被儲存於登錄機及/或記憶體的電子數據的部分。
通訊晶片706亦包括一積體電路晶粒封裝於通訊晶片706中。根據本發明的另一實施例,通訊晶片的積體電路晶粒包括一或更多裝置,例如MOS-FET電晶體內建於根據本發明的實施例中。
在更進一步的實施例中,另外容納於電腦裝置700中的組件可包含一積體電路晶片,其包括一或更多裝置,例如MOS-FET電晶體內建於根據本發明的實施例中。
在不同的實施例中,電腦裝置700可為一膝上型電腦、小筆電、筆記型電腦、輕薄筆電、智慧型手機、平板電腦、個人數位助理、超級行動電腦、手機、桌上型電 腦、伺服器、列表機、掃描機、螢幕、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或一數位影像記錄器。在更進一步的實施例中,電腦裝置700可為任何其他處理資料的電子裝置。
因此,CMOS奈米線結構已被揭露。在一個實施例中,一半導體結構包括一第一半導體裝置。第一半導體裝置包括一設置於一基材上方的第一奈米線。第一奈米線具有一中點位於基材上方的一第一距離,並且包括一分離的通道區域,且源與汲區位於分離的通道區域的每一側。一第一閘極電極堆疊完全圍繞第一奈米線的分離的通道區域。半導體結構還包括一第二半導體裝置。第一半導體裝置包括一設置於基材上方的第二奈米線。第二奈米線具有一中點位於基材上方的一第二距離,並且包括一分離的通道區域,且源與汲區位於分離的通道區域的每一側,第一距離與第二距離不同。一第二閘極電極堆疊完全圍繞第二奈米線的分離的通道區域。在這樣的一個實施例中,第一奈米線是由一例如矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺或III-V族化合物組成,但不以此為限,且第二奈米線是由一不同的材料組成,例如矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺或III-V族化合物,但不以此為限。
500’‧‧‧半導體裝置
500A’‧‧‧第一半導體裝置
500B’‧‧‧第二半導體裝置
502‧‧‧基材
504’、505、505’‧‧‧奈米線堆疊
504A’‧‧‧第一奈米線
506‧‧‧絕緣層
520‧‧‧半導體基礎材料
530‧‧‧包覆材料層

Claims (25)

  1. 一種半導體結構,包含:一第一半導體裝置,包含:第一複數個垂直堆疊的奈米線,其等設置於一基材上,該等第一複數個垂直堆疊的奈米線中最底部的奈米線具有於該基材上方一第一距離的一中點,並且該等第一複數個垂直堆疊的奈米線中的每一者包含一分離通道區以及位於該分離通道區的每一側之分離的源區與汲區;一第一閘極電極堆疊,其完全圍繞該等第一複數個垂直堆疊的奈米線中的每一者的該分離通道區;以及第一對接觸件,其等完全圍繞該等第一複數個垂直堆疊的奈米線中的每一者的該等分離的源區與汲區;以及一第二半導體裝置,包含:第二複數個垂直堆疊的奈米線,其等設置於該基材上,該等第二複數個垂直堆疊的奈米線中最底部的奈米線具有於該基材上方一第二距離的一中點,並且該等第二複數個垂直堆疊的奈米線中的每一者包含一分離通道區以及位於該分離通道區的每一側之分離的源區與汲區,該第一距離不同於該第二距離; 一第二閘極電極堆疊,其完全圍繞該等第二複數個垂直堆疊的奈米線中的每一者的該分離通道區;以及第二對接觸件,其等完全圍繞該等第二複數個垂直堆疊的奈米線中的每一者的該等分離的源區與汲區。
  2. 如請求項1所述的半導體結構,其中,該等第一複數個垂直堆疊的奈米線中的每一奈米線必要的由一選自矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺與一III-V族化合物所構成的群組的材料構成,該等第二複數個垂直堆疊的奈米線中的每一奈米線必要的由一選自矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳參雜矽鍺與一III-V族化合物所構成的群組的不同材料構成。
  3. 如請求項2所述的半導體結構,其中,該第一半導體裝置為一NMOS裝置,該第二半導體裝置為一PMOS裝置。
  4. 如請求項1所述的半導體結構,其中,該等第一與第二複數個垂直堆疊的奈米線設置於一具有一中間(intervening)介電層設置於其上的塊晶體基材上。
  5. 如請求項1所述的半導體結構,其中,該等第一與第二複數個垂直堆疊的奈米線設置於一不具有中間介電層設置於其上的塊晶體基材上。
  6. 如請求項1所述的半導體結構,更包含:一第一對間隔件,設置於該第一閘極電極堆疊與該 等第一對接觸件之間;以及一第二對間隔件,設置於該第二閘極電極堆疊與該等第二對接觸件之間。
  7. 如請求項6所述的半導體結構,其中,每一該等第一與第二奈米線的一部分為非分離的。
  8. 一種半導體結構,包含:一第一半導體裝置,包含:一第一奈米線,其設置於一基材上,該第一奈米線包含一分離通道區以及位於該分離通道區的每一側的源區與汲區,該分離通道區包含一半導體基礎(backbone)材料;以及一第一閘極電極堆疊,其完全圍繞該第一奈米線的該分離通道區;以及一第二半導體裝置,包含:一第二奈米線,其設置於該基材上,該第二奈米線包含一分離通道區以及位於該分離通道區的每一側的源區與汲區,該分離通道區包含一半導體基礎材料以及一不包括於該第一半導體裝置的該通道區內的圍繞包覆材料層;以及一第二閘極電極堆疊,其完全圍繞該第二奈米線的該分離通道區。
  9. 如請求項8所述的半導體結構,其中,該第一奈米線更包含一圍繞包覆材料層,其不同於該第二奈米線的該圍繞包覆材料層。
  10. 如請求項8所述的半導體結構,其中,該第一奈米線不包含一圍繞包覆材料層。
  11. 如請求項8所述的半導體結構,其中,該等第一與第二奈米線的該半導體基礎材料是形成自相同層。
  12. 如請求項8所述的半導體結構,其中,該第二奈米線的該半導體基礎材料具有一小於該第一奈米線之該半導體基礎材料的直徑。
  13. 如請求項8所述的半導體結構,其中,該半導體基礎材料選自由矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺與一III-V族化合物所構成的群組,且該包覆材料層必要的選自由矽、應變矽、矽鍺(SixGey,其中0<x<100,且0<y<100)、碳化矽、碳參雜矽鍺與一III-V族化合物所構成的群組的不同材料構成。
  14. 如請求項8所述的半導體結構,其中,該等第一與第二奈米線設置於一具有一中間介電層設置於其上的塊晶體基材上。
  15. 如請求項8所述的半導體結構,其中,該等第一與第二奈米線設置於一不具有中間介電層設置於其上的塊晶體基材上。
  16. 如請求項8所述的半導體結構,其中,該等第一與第二奈米線中每一者的該源與汲區為分離的,該第一半導體裝置更包含一第一對接觸件,其完全圍繞該第一奈米線的該等分離的源與汲區,且該第二半導體裝置更包含一 第二對接觸件,其完全圍繞該第二奈米線的該等分離的源與汲區。
  17. 如請求項16所述的半導體結構,更包含:一第一對間隔件,設置於該第一閘極電極堆疊與該等第一對接觸件之間;以及一第二對間隔件,設置於該第二閘極電極堆疊與該等第二對接觸件之間。
  18. 如請求項17所述的半導體結構,其中,每一該等第一與第二奈米線的一部分為非分離的。
  19. 如請求項8所述的半導體結構,其中,該第一半導體裝置更包含一或更多額外的奈米線,其與該第一奈米線垂直堆疊,且該第二半導體裝置更包含一或更多額外的奈米線,其與該第二奈米線垂直堆疊。
  20. 一種製造一CMOS奈米線半導體結構的方法,該方法包含:形成一第一主動層於一基材上,該第一主動層具有一第一晶格常數;形成一第二主動層於該第一主動層上,該第二主動層具有一大於該第一晶格常數的第二晶格常數;由該第一主動層形成一第一奈米線,其包含一分離通道區域以及位於該分離通道區域的每一側的源區及汲區;由該第二主動層形成一第二奈米線,其包含一分離通道區域以及位於該分離通道區域的每一側的源區及 汲區;形成一第一閘極電極堆疊,其完全圍繞該第一奈米線的該分離通道區域;形成一第二閘極電極堆疊,其完全圍繞該第二奈米線的該分離通道區域。
  21. 如請求項20所述的方法,其中,由該第一主動層形成該第一奈米線包含選擇性的移除該第二主動層的一部分,而由該第二主動層形成該第二奈米線包含選擇性的移除該第一主動層的一部分。
  22. 如請求項20所述的方法,其中,該第一主動層必要的由矽構成,且該第二主動層必要的由矽鍺構成(SixGey,其中0<x<100,且0<y<100)。
  23. 如請求項22所述的方法,其中,該第一閘極電極堆疊為一NMOS閘極電極堆疊,且其中,該第二閘極電極堆疊為一PMOS閘極電極堆疊。
  24. 如請求項20所述的方法,其中,該第一主動層是形成於一具有一中間介電層設置於其上的塊晶體基材上,該第一主動層形成於該中間介電層上。
  25. 如請求項20所述的方法,其中,該第一主動層是形成自一塊晶體基材。
TW101148662A 2011-12-23 2012-12-20 互補式金屬氧化物半導體奈米線結構 TWI546936B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/067225 WO2013095646A1 (en) 2011-12-23 2011-12-23 Cmos nanowire structure

Publications (2)

Publication Number Publication Date
TW201342580A TW201342580A (zh) 2013-10-16
TWI546936B true TWI546936B (zh) 2016-08-21

Family

ID=48669284

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101148662A TWI546936B (zh) 2011-12-23 2012-12-20 互補式金屬氧化物半導體奈米線結構

Country Status (5)

Country Link
US (3) US9224810B2 (zh)
CN (2) CN106653694B (zh)
DE (1) DE112011106004B4 (zh)
TW (1) TWI546936B (zh)
WO (1) WO2013095646A1 (zh)

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106653694B (zh) * 2011-12-23 2019-10-18 英特尔公司 Cmos纳米线结构
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
KR20140106270A (ko) * 2013-02-26 2014-09-03 삼성전자주식회사 집적 회로 장치 및 그 제조 방법
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9035277B2 (en) 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9184269B2 (en) 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US11404325B2 (en) * 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US8872161B1 (en) * 2013-08-26 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate circuit with nanowires
EP3050111A4 (en) * 2013-09-27 2017-06-07 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
US9704880B2 (en) * 2013-11-06 2017-07-11 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
DE102013223263B4 (de) * 2013-11-14 2018-09-27 Globalfoundries Inc. Nanodraht - Transistorbauteil und Verfahren
US9590051B2 (en) * 2013-12-18 2017-03-07 Intel Corporation Heterogeneous layer device
KR102171831B1 (ko) * 2013-12-19 2020-10-29 인텔 코포레이션 하이브리드 기하 구조 기반의 활성 영역을 갖는 비평면 반도체 디바이스
US9209185B2 (en) 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9997414B2 (en) * 2014-06-24 2018-06-12 Intel Corporation Ge/SiGe-channel and III-V-channel transistors on the same die
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9343529B2 (en) 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
CN104282575B (zh) * 2014-09-26 2017-06-06 北京大学 一种制备纳米尺度场效应晶体管的方法
US11631737B2 (en) 2014-12-24 2023-04-18 Intel Corporation Ingaas epi structure and wet etch process for enabling III-v GAA in art trench
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions
CN111584625B (zh) * 2015-01-26 2023-07-11 联华电子股份有限公司 纳米线晶体管元件
CN105990413B (zh) * 2015-02-06 2020-11-17 联华电子股份有限公司 具有纳米线结构的半导体结构与制造方法
US9508741B2 (en) * 2015-02-10 2016-11-29 International Business Machines Corporation CMOS structure on SSOI wafer
US9401372B1 (en) * 2015-02-10 2016-07-26 International Business Machines Corporation Dual isolation on SSOI wafer
US9390980B1 (en) * 2015-03-24 2016-07-12 International Business Machines Corporation III-V compound and germanium compound nanowire suspension with germanium-containing release layer
US9780166B2 (en) * 2015-03-30 2017-10-03 International Business Machines Corporation Forming multi-stack nanowires using a common release material
US9349860B1 (en) 2015-03-31 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
KR102325894B1 (ko) 2015-06-10 2021-11-12 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9362179B1 (en) 2015-06-22 2016-06-07 International Business Machines Corporation Method to form dual channel semiconductor material fins
CN106328520A (zh) * 2015-07-02 2017-01-11 中芯国际集成电路制造(上海)有限公司 纳米线场效应晶体管及其形成方法
EP3112316B1 (en) * 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
US9613871B2 (en) * 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
EP3127862B1 (en) * 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
US9607990B2 (en) * 2015-08-28 2017-03-28 International Business Machines Corporation Method to form strained nFET and strained pFET nanowires on a same substrate
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
CN108028274B (zh) 2015-09-10 2021-09-03 英特尔公司 半导体纳米线装置及其制造方法
US9607900B1 (en) 2015-09-10 2017-03-28 International Business Machines Corporation Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
CN106558603B (zh) * 2015-09-30 2019-05-31 中国科学院微电子研究所 一种纳米线结构、围栅纳米线器件及其制造方法
CN106558489B (zh) * 2015-09-30 2019-03-15 中国科学院微电子研究所 一种纳米线结构、围栅纳米线器件及其制造方法
KR102373620B1 (ko) * 2015-09-30 2022-03-11 삼성전자주식회사 반도체 장치
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
CN106601804B (zh) 2015-10-15 2018-06-01 上海新昇半导体科技有限公司 场效应晶体管及其制备方法
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9484306B1 (en) * 2015-11-17 2016-11-01 International Business Machines Corporation MOSFET with asymmetric self-aligned contact
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US9735274B2 (en) 2015-11-20 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a stacked wire structure
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106856208B (zh) * 2015-12-08 2019-09-27 中芯国际集成电路制造(北京)有限公司 纳米线半导体器件及其形成方法
KR102434993B1 (ko) 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
CN106887409B (zh) * 2015-12-15 2020-02-21 上海新昇半导体科技有限公司 互补纳米线半导体器件及其制造方法
US9947591B2 (en) * 2015-12-22 2018-04-17 Imec Vzw Method for manufacturing a Si-based high-mobility CMOS device with stacked channel layers, and resulting devices
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
KR102367408B1 (ko) * 2016-01-04 2022-02-25 삼성전자주식회사 복수의 시트들로 구성된 채널 영역을 포함하는 sram 소자
US9899416B2 (en) 2016-01-11 2018-02-20 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9484267B1 (en) * 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
CN107154428B (zh) 2016-03-03 2019-12-24 上海新昇半导体科技有限公司 互补纳米线半导体器件及其制备方法
CN107204311A (zh) * 2016-03-16 2017-09-26 上海新昇半导体科技有限公司 纳米线半导体器件及其制造方法
US11588017B2 (en) 2016-03-30 2023-02-21 Intel Corporation Nanowire for transistor integration
US9711607B1 (en) * 2016-04-15 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. One-dimensional nanostructure growth on graphene and devices thereof
US9735269B1 (en) * 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
CN107623033A (zh) * 2016-07-13 2018-01-23 中芯国际集成电路制造(上海)有限公司 多沟道全包围栅极器件及其制造方法
TWI744358B (zh) 2016-07-19 2021-11-01 日商東京威力科創股份有限公司 三維半導體裝置及其製造方法
US10332986B2 (en) 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US20180061944A1 (en) * 2016-08-31 2018-03-01 International Business Machines Corporation Forming nanosheet transistors with differing characteristics
US11538905B2 (en) * 2016-09-30 2022-12-27 Intel Corporation Nanowire transistors employing carbon-based layers
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
CN106549047B (zh) * 2016-11-03 2020-10-02 武汉华星光电技术有限公司 一种纳米线无结晶体管及其制备方法
TWI746696B (zh) 2016-11-14 2021-11-21 日商東京威力科創股份有限公司 奈米線及奈米平板處理用之本體矽電荷轉移之預防方法
US10522694B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US9972542B1 (en) 2017-01-04 2018-05-15 International Business Machines Corporation Hybrid-channel nano-sheet FETs
US10319813B2 (en) 2017-03-27 2019-06-11 International Business Machines Corporation Nanosheet CMOS transistors
WO2018182655A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Removal of a bottom-most nanowire from a nanowire device stack
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US11101270B2 (en) 2017-06-29 2021-08-24 Intel Corporation Techniques and mechanisms for operation of stacked transistors
US10497624B2 (en) * 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10332962B2 (en) 2017-10-11 2019-06-25 International Business Machines Corporation Nanosheet semiconductor structure with inner spacer formed by oxidation
US10714391B2 (en) * 2017-12-04 2020-07-14 Tokyo Electron Limited Method for controlling transistor delay of nanowire or nanosheet transistor devices
CN108172546B (zh) * 2017-12-22 2020-06-23 中国科学院微电子研究所 一种cmos纳米线及其制造方法
CN109979986B (zh) * 2017-12-28 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102452925B1 (ko) 2018-02-23 2022-10-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN111699550B (zh) 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
US10263100B1 (en) 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
US10332809B1 (en) * 2018-06-21 2019-06-25 International Business Machines Corporation Method and structure to introduce strain in stack nanosheet field effect transistor
US11043556B2 (en) * 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
KR102515393B1 (ko) 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10714392B2 (en) * 2018-07-18 2020-07-14 International Business Machines Corporation Optimizing junctions of gate all around structures with channel pull back
US11276691B2 (en) * 2018-09-18 2022-03-15 Intel Corporation Gate-all-around integrated circuit structures having self-aligned source or drain undercut for varied widths
US10756175B2 (en) 2018-09-18 2020-08-25 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
US11676965B2 (en) 2018-09-28 2023-06-13 Intel Corporation Strained tunable nanowire structures and process
CN110970432A (zh) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 全包围栅纳米片互补反相器结构及其制造方法
US11043493B2 (en) 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US10861852B2 (en) 2018-11-05 2020-12-08 Qualcomm Incorporated Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) for complementary metal-oxide semiconductor (CMOS) cell circuits
US11532619B2 (en) * 2019-03-27 2022-12-20 Intel Corporation Transistor structures including a non-planar body having variable and complementary semiconductor and insulator portions
US10818559B1 (en) 2019-04-29 2020-10-27 International Business Machines Corporation Formation of multi-segment channel transistor devices
KR20200131070A (ko) 2019-05-13 2020-11-23 삼성전자주식회사 집적회로 소자
CN112420831B (zh) * 2019-08-23 2024-05-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11031292B2 (en) * 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11133310B2 (en) * 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11145654B2 (en) * 2019-10-16 2021-10-12 Qualcomm Incorporated Field effect transistor (FET) comprising channels with silicon germanium (SiGe)
US20210202478A1 (en) * 2019-12-26 2021-07-01 Intel Corporation Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
US11282967B2 (en) * 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure field-effect transistor device and method of forming
US11631671B2 (en) * 2019-12-31 2023-04-18 Tokyo Electron Limited 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same
US11094699B1 (en) * 2020-05-28 2021-08-17 Micron Technology, Inc. Apparatuses including stacked horizontal capacitor structures and related methods, memory devices, and electronic systems
CN111613536A (zh) * 2020-05-29 2020-09-01 上海华力集成电路制造有限公司 一种鳍型半导体器件及其制造方法
CN112201692A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 全包围栅极鳍式场效应晶体管及其制造方法
US11735590B2 (en) 2020-11-13 2023-08-22 International Business Machines Corporation Fin stack including tensile-strained and compressively strained fin portions
CN114639731A (zh) 2020-12-15 2022-06-17 联华电子股份有限公司 一种制作半导体元件的方法
CN114792682A (zh) 2021-01-26 2022-07-26 联华电子股份有限公司 纳米线晶体管及其制作方法
US11837604B2 (en) 2021-09-22 2023-12-05 International Business Machine Corporation Forming stacked nanosheet semiconductor devices with optimal crystalline orientations around devices
US20230178658A1 (en) * 2021-12-02 2023-06-08 Intel Corporation Recessed inner gate spacers and partial replacement channel in non-planar transistors

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7051945B2 (en) * 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
KR100521310B1 (ko) 2003-10-06 2005-10-14 에스케이 텔레콤주식회사 멀티모달을 이용한 립싱크-아바타 제공 방법 및 장치
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100699839B1 (ko) * 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
KR101155176B1 (ko) * 2005-07-12 2012-06-11 삼성전자주식회사 방향성이 조절된 단결정 와이어 및 이를 적용한트랜지스터의 제조방법
US7354831B2 (en) 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
KR100722768B1 (ko) * 2006-04-03 2007-05-30 삼성전자주식회사 반도체 장치의 제조 방법
JP2010503981A (ja) * 2006-09-19 2010-02-04 クナノ アーベー ナノスケール電界効果トランジスタの構体
CN101960570A (zh) * 2008-02-26 2011-01-26 Nxp股份有限公司 制造半导体器件的方法和半导体器件
FR2928029B1 (fr) * 2008-02-27 2011-04-08 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
CN101719499B (zh) * 2009-12-01 2012-09-26 中国科学院上海微系统与信息技术研究所 混合材料积累型圆柱体全包围栅cmos场效应晶体管
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US8420455B2 (en) * 2010-05-12 2013-04-16 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
JP5073014B2 (ja) * 2010-06-11 2012-11-14 株式会社東芝 半導体装置およびその製造方法
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
CN106847814B (zh) * 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
DE112011106006B4 (de) 2011-12-23 2021-01-14 Intel Corp. Nanodrahtstrukturen mit Rundumkontakten und zugehöriges Herstellungsverfahren
CN106653694B (zh) * 2011-12-23 2019-10-18 英特尔公司 Cmos纳米线结构

Also Published As

Publication number Publication date
TW201342580A (zh) 2013-10-16
US20170133277A1 (en) 2017-05-11
US10074573B2 (en) 2018-09-11
CN106653694B (zh) 2019-10-18
DE112011106004B4 (de) 2017-07-13
CN104011849B (zh) 2016-12-28
DE112011106004T5 (de) 2014-09-04
CN106653694A (zh) 2017-05-10
US9583491B2 (en) 2017-02-28
CN104011849A (zh) 2014-08-27
WO2013095646A1 (en) 2013-06-27
US20160086951A1 (en) 2016-03-24
US9224810B2 (en) 2015-12-29
US20140197377A1 (en) 2014-07-17

Similar Documents

Publication Publication Date Title
TWI546936B (zh) 互補式金屬氧化物半導體奈米線結構
JP6423044B2 (ja) シリコン及びシリコンゲルマニウムのナノワイヤ構造
US9812524B2 (en) Nanowire transistor devices and forming techniques
TWI618127B (zh) 具有含低帶隙包覆層之通道區的非平面半導體裝置
CN104584223B (zh) 在整体隔离的或局部隔离的衬底上形成的应变栅极全包围半导体器件
TWI505469B (zh) 具有非分離的源極及汲極區域的奈米線結構
TWI493715B (zh) 具有奈米線或伴隨不同的材料方向或成分的半導體本體之共用基板半導體裝置
TWI584480B (zh) 形成於全域或局部隔離之基板上的三維鍺基半導體裝置(二)
TWI508298B (zh) 具有帶有下層寄生漏電阻隔層的鍺活性層之半導體裝置
TW201611289A (zh) 在鍺主動層下方有擴散障壁層之半導體裝置