US10593600B2 - Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap - Google Patents

Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap Download PDF

Info

Publication number
US10593600B2
US10593600B2 US15/051,804 US201615051804A US10593600B2 US 10593600 B2 US10593600 B2 US 10593600B2 US 201615051804 A US201615051804 A US 201615051804A US 10593600 B2 US10593600 B2 US 10593600B2
Authority
US
United States
Prior art keywords
gate
forming
semiconductor
dielectric layer
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/051,804
Other versions
US20170243789A1 (en
Inventor
Takashi Ando
Martin M. Frank
Renee T. Mo
Vijay Narayanan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/051,804 priority Critical patent/US10593600B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MO, RENEE T., ANDO, TAKASHI, FRANK, MARTIN M., NARAYANAN, VIJAY
Priority to US15/649,122 priority patent/US10504799B2/en
Priority to US15/649,182 priority patent/US10672671B2/en
Publication of US20170243789A1 publication Critical patent/US20170243789A1/en
Application granted granted Critical
Publication of US10593600B2 publication Critical patent/US10593600B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention relates to semiconductor devices and, more particularly, to the use of III-V-type and IV-type semiconductor devices on a single chip.
  • III-V compound semiconductors such as gallium arsenide, indium gallium arsenide, indium arsenide, and indium antimonide, may be used in transistor devices using complementary metal oxide semiconductor (CMOS) processes. While such devices have been shown, it is challenging to form III-V-based devices on the same chip as IV-based devices.
  • CMOS complementary metal oxide semiconductor
  • III-V semiconductors One challenge arises due to the relative volatility of III-V semiconductors. Whereas IV-type semiconductors (such as, e.g., silicon and silicon germanium) are stable at high temperatures, III-V-type semiconductors may be damaged by high-temperature processes that conventional semiconductors would withstand.
  • IV-type semiconductors such as, e.g., silicon and silicon germanium
  • CMOS devices with n-type field effect transistors (FETs) and p-type FETs on separate layers.
  • FETs field effect transistors
  • Such approaches can provide III-V-based devices and IV-based devices on the respective layers, but the cost may be prohibitively high and it can be difficult to achieve high performance and reliability due to the complicated fabrication processes.
  • Another conventional approach uses IV-based p-type FETs and III-V-based n-type FETs on a given chip, but with the same gate dielectric for each. This does not provide the ability to separately optimize the gate stack properties (such as, e.g., leakage, threshold voltage, performance, reliability, etc.) of each device type.
  • a method for forming a plurality of semiconductor devices includes forming a first channel region on a first semiconductor region.
  • a second channel region is formed on a second semiconductor region.
  • the second semiconductor region is formed from a semiconductor material that is different from a semiconductor material of the first semiconductor region.
  • a semiconductor cap is formed on one or more of the first and second channel regions.
  • a gate dielectric layer is formed over the nitrogen-containing layer. A gate is formed on the gate dielectric.
  • a method for forming a plurality of semiconductor devices includes forming a first channel region on a first semiconductor region formed from a group IV semiconductor material.
  • a second channel region is formed on a second semiconductor region coplanar with the first semiconductor region.
  • the second semiconductor region is formed from a III-V semiconductor material.
  • a silicon cap is formed on one or more of the first and second channel regions.
  • a gate dielectric layer is formed over the nitrogen-containing layer.
  • a gate is formed on the gate dielectric.
  • a semiconductor device includes a first channel region formed from a first semiconductor material.
  • a second channel region is formed from a second semiconductor material, different from the first semiconductor material.
  • a nitrogen-containing layer is formed on one or more of the first and second channel regions.
  • a gate is formed over the nitrogen-containing layer.
  • FIG. 1 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 2 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 3 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 4 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 5 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 6 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 7 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 8 is a block/flow diagram of a method of forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 9 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles.
  • FIG. 10 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 11 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 12 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 13 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles
  • FIG. 14 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles.
  • FIG. 15 is a block/flow diagram of an alternative method of forming a plurality of semiconductor devices in accordance with the present principles.
  • Embodiments of the present invention provide III-V-based devices and IV-based devices on the same chip using complementary metal oxide semiconductor (CMOS) processes.
  • CMOS complementary metal oxide semiconductor
  • the present embodiments provide distinct gate stacks between the types of devices, either with all devices having a same channel capping layer and differing gate dielectric and gate materials, with one device type having the channel capping layer and all devices having same gate dielectric and gate materials, or with one device having the channel capping layer and with the devices having differing gate dielectric and gate materials.
  • semiconductor devices are based on group IV semiconductors. These semiconductors include, e.g., silicon (including polysilicon, epitaxially grown silicon, and amorphous silicon), germanium, silicon germanium, silicon carbide, and layers thereof. In addition, semiconductor devices may be formed using composite III-V semiconductors, which use one or more elements from group III on the periodic table and one or more elements from group V.
  • group IV semiconductors include, e.g., silicon (including polysilicon, epitaxially grown silicon, and amorphous silicon), germanium, silicon germanium, silicon carbide, and layers thereof.
  • semiconductor devices may be formed using composite III-V semiconductors, which use one or more elements from group III on the periodic table and one or more elements from group V.
  • III-V compound semiconductors are binary, ternary or quaternary alloys including III/V elements.
  • III-V compound semiconductors that can be used in the present embodiments include, but are not limited to aluminum antimonide, aluminum arsenide, aluminum nitride, aluminum phosphide, gallium arsenide, gallium phosphide, indium antimonide, indium arsenic, indium nitride, indium phosphide, aluminum gallium arsenide, indium gallium phosphide, aluminum indium arsenic, aluminum indium antimonide, gallium arsenide nitride, gallium arsenide antimonide, aluminum gallium nitride, aluminum gallium phosphide, indium gallium nitride, indium arsenide antimonide, indium gallium antimonide, aluminum gallium indium phosphide, aluminum gallium arsenide phosphide, indium gallium arsenide antimonide
  • III-V semiconductors may be advantageous for some purposes, as they provide greater freedom to the circuit designer in selecting the particular device properties desired for an application.
  • processes for fabricating devices based on group IV semiconductors are well developed, with many existing fabrication plants using such processes, the processes for fabricating III-V-based devices are relatively immature.
  • the present embodiments therefore integrate both types of device on a single chip to take advantage of existing IV-type processes.
  • a substrate is shown that includes an insulator layer 102 and a semiconductor layer 104 .
  • This embodiment specifically contemplates a semiconductor-on-insulator (SOI) substrate structure, it should be understood that other types of substrate, such as a bulk semiconductor substrate, may be used instead.
  • SOI semiconductor-on-insulator
  • a substrate is shown that includes an insulator layer 102 and a semiconductor layer 104 .
  • This embodiment specifically contemplates a semiconductor-on-insulator (SOI) substrate structure, it should be understood that other types of substrate, such as a bulk semiconductor substrate, may be used instead.
  • SOI semiconductor-on-insulator
  • the insulator layer 102 may be any appropriate insulator or dielectric material.
  • the insulator layer 102 may be silicon dioxide, but other examples include a glass layer, a polyimide layer, a diamond-like carbon layer, etc.
  • the semiconductor layer is specifically contemplated to be a group IV semiconductor, such as silicon germanium. It should be recognized that any appropriate group IV semiconductor may be employed, with examples including silicon (polysilicon, epitaxially grown silicon, or amorphous silicon), germanium, silicon carbide, and layers thereof.
  • the semiconductor layer 104 may be a III-V semiconductor material, with indium gallium arsenide being specifically contemplated.
  • a region 202 of contrasting semiconductor material is formed.
  • a trench may be formed in the semiconductor layer 104 and the contrasting semiconductor region 202 may be grown or otherwise deposited in the trench.
  • the contrasting semiconductor region 202 may be grown or otherwise deposited on the top surface of the semiconductor layer 104 .
  • the formation of the contrasting semiconductor region 202 may be performed using, e.g., epitaxial growth.
  • epitaxial growth and/or deposition means the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has substantially the same crystalline characteristics as the semiconductor material of the deposition surface.
  • epitaxial material denotes a material that is formed using epitaxial growth.
  • the depositing atoms arrive at the deposition surface with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface.
  • an epitaxial film deposited on a ⁇ 100 ⁇ crystal surface will take on a ⁇ 100 ⁇ orientation.
  • the semiconductor layer 104 is a group IV semiconductor, such as silicon germanium, and the contrasting semiconductor region is a III-V semiconductor, such as indium gallium arsenide.
  • the semiconductor layer 104 is the III-V semiconductor and the contrasting semiconductor region 202 is the group IV semiconductor. In either case, both types of semiconductor are present on the same chip.
  • an alternative embodiment may have a second contrasting semiconductor region deposited on or in the substrate semiconductor layer 104 .
  • the substrate semiconductor layer 104 may be, for example, silicon
  • the second contrasting semiconductor region may be, for example, silicon germanium or some other group IV semiconductor.
  • Doped source/drain regions 302 are formed in the contrasting semiconductor region 202 . Additional doped source/drain regions 304 are formed elsewhere on the semiconductor layer 104 .
  • the first set of doped source/drain regions 302 on the contrasting semiconductor region 202 establish a first channel region 303 between them, while the second set of doped source/drain regions 304 on the semiconductor layer 104 define a second channel region 306 between them.
  • the first and second channel regions 303 / 306 are coplanar with one another, defined herein to mean within about 20 nm of vertical displacement with respect to one another.
  • the source/drain regions 302 and 304 can be formed by any appropriate process.
  • a dummy gate may be formed to define the channel regions 303 and 306 , with dopant implantation being performed at the sides of the dummy gate.
  • the dummy gate may subsequently be removed to form the actual gates, as is discussed in greater detail below.
  • the depicted structure of the source/drain regions 302 and 304 is meant to be illustrative and should not be construed as limiting—any structure for the source/drain regions 302 / 304 , including raised source drain regions, merged source/drain regions, fin source/drain regions, etc. may be used.
  • first source/drain regions 302 and the second source/drain regions 304 may have different respective dopant types.
  • one set of regions will be p-type and the other will be n-type.
  • the group IV semiconductor source/drain regions will be p-type doped, while the III-V semiconductor source/drain regions will be n-type doped.
  • the dopant atoms in the respective source/drain regions 302 and 304 may be an n-type dopant (i.e., an element from Group IV or VI of the Periodic Table of Elements) or a p-type dopant (i.e., an element from Group II or VI of the Periodic Table of Elements).
  • n-type dopants for a group IV semiconductor include phosphorus, arsenic and antimony.
  • Exemplary p-type dopants for a group IV semiconductor include boron, aluminum, and gallium.
  • Exemplary n-type dopants for a III-V semiconductor include selenium, tellurium, silicon, and germanium.
  • Exemplary p-type dopants for a III-V semiconductor include beryllium, zinc, cadmium, silicon, and germanium.
  • the concentration of dopant within the doped region is typically from about 1011 to about 1015 atoms/cm2, with a concentration of dopant within the doped region from about 1011 to about 1013 atoms/cm2 being more typical.
  • the source/drain regions 302 and 304 may be doped through an implantation process or may, alternatively, be grown on the underlying layer and doped in situ.
  • each of the present embodiments share the same structure and process.
  • the present embodiments diverge, however, regarding the application of a silicon cap over the channels 303 and 306 .
  • a semiconductor cap is formed over both channels, and in a second embodiment, the semiconductor cap is formed over only one type of device.
  • the surfaces may be cleaned with a compatible wet clean to remove, e.g., adventitious contaminants (organics, metals, particles, etc.).
  • the wet clean removes any native oxides that may have formed on the surfaces that would result in poorly controlled thickness and composition of the gate dielectrics.
  • the wet clean may include application of dilute hydrofluoric acid, followed by hydrochloric acid for indium gallium arsenide and silicon germanium channels.
  • Respective semiconductor layers 402 and 404 are formed on a top surface of both the semiconductor channel 306 and the contrasting semiconductor channel 303 .
  • the semiconductor layers 402 / 404 may be formed from silicon, but any appropriate semiconductor capping material may be used.
  • the semiconductor layers 402 / 404 may be formed from, e.g., amorphous, epitaxial, crystalline, or polycrystalline silicon, may include hydrogen, may be partially or completely oxidized or nitride, and may be formed from multilayers of any of the above.
  • the semiconductor layers 402 / 404 may be formed using any appropriate deposition process.
  • mask 406 is deposited over the device, with gaps over the semiconductor channel 306 and the contrasting semiconductor channel 303 , to limit the deposition of the semiconductor layers 402 / 404 to only the channel areas.
  • the mask 406 may be formed by any appropriate dielectric or insulator material, such as a hardmask material or bulk dielectric.
  • Materials for the mask 406 may include, e.g., silicon dioxide or silicon nitride. It should be understood that the mask 406 may have any appropriate shape, leaving areas other than just the channels 303 and 306 uncovered. In an alternative embodiment, the mask 406 may be omitted entirely, with the nitrogen-containing region being formed on arbitrary regions and later being removed as needed.
  • Exemplary deposition processes for the semiconductor layers include, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or gas cluster ion beam (GCIB) deposition.
  • CVD is a deposition process in which a deposited species is formed as a result of chemical reaction between gaseous reactants at greater than room temperature (e.g., from about 25° C. about 900° C.).
  • the solid product of the reaction is deposited on the surface on which a film, coating, or layer of the solid product is to be formed.
  • CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), and Metal-Organic CVD (MOCVD) and combinations thereof may also be employed.
  • APCVD Atmospheric Pressure CVD
  • LPCVD Low Pressure CVD
  • PECVD Plasma Enhanced CVD
  • MOCVD Metal-Organic CVD
  • a sputtering apparatus may include direct-current diode systems, radio frequency sputtering, magnetron sputtering, or ionized metal plasma sputtering.
  • chemical precursors react with the surface of a material one at a time to deposit a thin film on the surface.
  • a high-pressure gas is allowed to expand in a vacuum, subsequently condensing into clusters.
  • the clusters can be ionized and directed onto a surface, providing a highly anisotropic deposition.
  • a gate dielectric layer 502 is deposited over the semiconductor layers 402 / 404 using a high-k dielectric material.
  • a “high-k” dielectric material is one that has a dielectric constant k that is higher than the dielectric constant of silicon dioxide (e.g., greater than about 3.9) at room temperature and atmospheric pressure.
  • the least one gate dielectric layer is composed of a high-k oxide such as, for example, hafnium dioxide, zirconium dioxide, aluminum oxide, titanium dioxide, lanthanum oxide, strontium titanium oxide, lanthanum aluminum oxide, yttrium oxide, and mixtures thereof.
  • high-k dielectric materials for the at least one gate dielectric layer include hafnium silicate, hafnium silicon oxynitride or combinations thereof.
  • Formation of the gate dielectric layer 502 may include an optional activation step for nucleation on the semiconductor layers 402 / 404 . Activation improves subsequent nucleation of the high-k material during ALD, resulting in better film continuity and improved gate leakage characteristics. Activation may include treatment of the surface with, e.g., ozone.
  • a nitrogen-containing layer may be applied to the gate dielectric layer 502 to form a nitrided gate dielectric layer.
  • the nitrogen-containing layer may be applied before or after the gate dielectric layer 502 is deposited and annealed to combine the nitrogen-containing material with the high-k dielectric material.
  • the nitrogen-containing layer may be formed using, e.g., an ammonia anneal, nitrogen plasma, etc.
  • a gate metal 602 is deposited over the gate dielectric layer 502 .
  • the gate metal 602 may be any appropriate conducting metal including, but not limited to, tungsten, nickel, titanium, molybdenum, tantalum, copper, platinum, silver, gold, rubidium, iridium, rhodium, rhenium, and alloys that include at least one of the aforementioned conductive elemental metals.
  • the gate material may alternatively include nitrides or carbides in alloys with the gate metal.
  • the gate metal 602 may also include layers of, e.g., elemental aluminum or tungsten above the alloy layers.
  • the two devices have different high-k gate dielectric materials 702 and 706 and different gate metal materials 704 and 708 .
  • This may be used to provide a dual gate stack, with different devices having markedly different properties tailored to their particular applications.
  • Block 802 forms the contrasting semiconductor region 202 on a semiconductor layer 104 , with one of the two semiconductor materials being a group IV semiconductor and the other being a III-V semiconductor.
  • Block 804 forms source and drain regions 302 in the contrasting region 202 , defining a contrasting semiconductor channel 303 , as well as source and drain regions 304 in the semiconductor layer 104 , defining the semiconductor channel 306 .
  • Block 806 forms nitrogen-containing regions 402 and 404 on the respective contrasting channel regions 303 and 306 .
  • Block 806 may perform any appropriate blocking or masking needed to accomplish this, in one exemplary embodiment creating dummy gates, filling in a dielectric layer 406 , and then removing the dummy gates.
  • Block 806 then performs, for example, an ammonia anneal or nitrogen plasma treatment to create a layer of nitrogen-containing material on the surface of the channels 303 / 306 .
  • Block 808 deposits the gate dielectric 502 over the nitrogen-containing layers 402 / 404 and block 810 forms a gate 602 over the gate dielectric 502 .
  • the gate dielectric and gate materials may be different in different devices, according to the design needs of the devices.
  • blocks 808 and 810 form the first gate dielectric 702 and first gate 704
  • blocks 812 and 814 form a second gate dielectric 706 and gate 708 respectively.
  • a design for an integrated circuit chip may be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly.
  • the stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer.
  • the photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B).
  • such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C).
  • This may be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • FIG. 9 a step in fabricating an alternative embodiment of a set of field effect transistors is shown.
  • the surfaces may be cleaned with a compatible wet clean to remove, e.g., adventitious contaminants (organics, metals, particles, etc.).
  • the wet clean removes any native oxides that may have formed on the surfaces that would result in poorly controlled thickness and composition of the gate dielectrics.
  • This embodiment produces a nitrogen-containing layer on only one of the devices and branches off of FIG. 3 above, depositing a two-layer mask over the surface.
  • the mask includes a first masking layer 902 and a second masking layer 904 that have etch selectivity with respect to one another.
  • the first masking layer 902 is formed from an aluminum oxide while the second masking layer 904 is formed from a silicon dioxide layer.
  • only one masking layer may be used or the layers may be formed from alternative materials such as, e.g., silicon or germanium. The materials are selected for wet-chemical etch compatibility.
  • the two masking layers 902 / 904 may be deposited by any appropriate process including, e.g., CVD, PVD, ALD, and GCIB deposition.
  • a photoresist 1006 is used to cover one of the device regions (in this case, the III-V device) while the masking layers 902 and 904 are etched away in the area above the III-V type region, leaving etched masking layers 1002 and 1004 .
  • the mask is shown as covering the group IV region and exposing the contrasting region (e.g., the type III-V semiconductor), it should be understood that the mask may instead be removed over the group IV region.
  • the etch may be performed using an anisotropic etch, such as a reactive ion etch (RIE) with a subsequent application of a buffered oxide etch to clean residues from the exposed surface.
  • RIE reactive ion etch
  • FIG. 11 a step in fabricating an alternative embodiment of a set of field effect transistors is shown.
  • the photoresist 1006 is stripped away and a wet etch is used to remove the top masking layer 904 .
  • a wet etch is used to remove the top masking layer 904 .
  • a dilute hydrofluoric acid wash may be used to remove the top masking layer 904 , leaving the bottom masking layer 902 exposed.
  • a semiconductor cap 1204 is formed on a top surface of exposed channel 303 .
  • the semiconductor cap 1204 may be formed from any appropriate semiconductor material, with silicon being contemplated in particular, and may be deposited using any appropriate process such as, e.g., CVD, PVD, ALD, or GCIB.
  • a mask 1202 is deposited over the device, with gaps over the exposed channel 303 , to limit the deposition of the semiconductor cap 1204 to only the channel areas.
  • the mask 1202 may be formed by any appropriate dielectric or insulator material, such as a hardmask material or bulk dielectric.
  • Materials for the mask 1202 may include, e.g., silicon dioxide or silicon nitride.
  • the mask 1202 may be formed by any appropriate process including, e.g., forming a dummy gate, depositing a masking material, and then removing the dummy gate.
  • the mask 1202 is extended over the source and drain regions 304 around the group IV semiconductor channel 306 to form mask 1302 .
  • the mask 1302 may be extended by any appropriate process including, e.g., blocking the existing mask 1202 , forming a dummy gate, depositing dielectric, and removing the dummy gate and blocking structure.
  • a layer of oxide material may optionally be deposited on the channels 303 / 306 and over the semiconductor cap 1204 . Forming such a layer may improve the interface state density, in particular for III-V semiconductors. In addition, such a layer may be used to tune the threshold voltage of devices based on group IV semiconductors such as silicon or silicon germanium.
  • a gate stack 1402 is formed over both channel regions 303 / 306 .
  • the gate stack includes a high-k dielectric layer and a gate material, as described in FIG. 6 above.
  • the gate stacks may be formed with differing gate dielectrics and gate materials, as described in FIG. 7 above. It is specifically contemplated that hafnium oxide may be employed for the gate stack 1402 , but any appropriate dielectric material may be used instead.
  • an oxide layer may be deposited above the gate dielectric.
  • hafnium oxide is used for the gate dielectric layer
  • aluminum oxide is used between the gate dielectric and the gate material in the gate stack 1402 .
  • Block 1502 forms the contrasting semiconductor region 202 on a semiconductor layer 104 , with one of the two semiconductor materials being a group IV semiconductor and the other being a III-V semiconductor.
  • Block 1504 forms source and drain regions 302 in the contrasting region 202 , defining a contrasting semiconductor channel 303 , as well as source and drain regions 304 in the semiconductor layer 104 , defining the semiconductor channel 306 .
  • Block 1506 masks one device region.
  • the masked region may be either the group IV semiconductor layer 104 or the contrasting semiconductor region 202 .
  • the mask may be a mono-layer mask, a dual-layer mask, or any other appropriate masking configuration.
  • Block 1508 then forms a silicon cap 1204 on the unmasked channel using any appropriate deposition process such as, e.g., CVD, PVD, ALD, or GCIB.
  • Block 1509 forms a mask over the nitrogen-containing layer 1204 , providing an opportunity to remove the remaining mask over the uncapped region.
  • Block 1510 removes that mask and any other masks to expose the channel regions.
  • Block 1512 deposits a gate dielectric 502 over the channel regions and block 1514 forms a gate over the gate dielectric.
  • the gate dielectric and gate materials may be different in different devices, according to the design needs of the devices. In this case, blocks 1512 and 1514 form a first gate dielectric and first gate, while blocks 1516 and 1518 form a second gate dielectric and gate respectively.

Abstract

Semiconductor devices and methods of forming the same include forming a first channel region on a first semiconductor region. A second channel region is formed on a second semiconductor region. The second semiconductor region is formed from a semiconductor material that is different from a semiconductor material of the first semiconductor region. A semiconductor cap is formed on one or more of the first and second channel regions. A gate dielectric layer is formed over the nitrogen-containing layer. A gate is formed on the gate dielectric.

Description

BACKGROUND
Technical Field
The present invention relates to semiconductor devices and, more particularly, to the use of III-V-type and IV-type semiconductor devices on a single chip.
Description of the Related Art
III-V compound semiconductors, such as gallium arsenide, indium gallium arsenide, indium arsenide, and indium antimonide, may be used in transistor devices using complementary metal oxide semiconductor (CMOS) processes. While such devices have been shown, it is challenging to form III-V-based devices on the same chip as IV-based devices.
One challenge arises due to the relative volatility of III-V semiconductors. Whereas IV-type semiconductors (such as, e.g., silicon and silicon germanium) are stable at high temperatures, III-V-type semiconductors may be damaged by high-temperature processes that conventional semiconductors would withstand.
One conventional approach is to use stacked, three-dimensional CMOS devices, with n-type field effect transistors (FETs) and p-type FETs on separate layers. Such approaches can provide III-V-based devices and IV-based devices on the respective layers, but the cost may be prohibitively high and it can be difficult to achieve high performance and reliability due to the complicated fabrication processes.
Another conventional approach uses IV-based p-type FETs and III-V-based n-type FETs on a given chip, but with the same gate dielectric for each. This does not provide the ability to separately optimize the gate stack properties (such as, e.g., leakage, threshold voltage, performance, reliability, etc.) of each device type.
SUMMARY
A method for forming a plurality of semiconductor devices includes forming a first channel region on a first semiconductor region. A second channel region is formed on a second semiconductor region. The second semiconductor region is formed from a semiconductor material that is different from a semiconductor material of the first semiconductor region. A semiconductor cap is formed on one or more of the first and second channel regions. A gate dielectric layer is formed over the nitrogen-containing layer. A gate is formed on the gate dielectric.
A method for forming a plurality of semiconductor devices includes forming a first channel region on a first semiconductor region formed from a group IV semiconductor material. A second channel region is formed on a second semiconductor region coplanar with the first semiconductor region. The second semiconductor region is formed from a III-V semiconductor material. A silicon cap is formed on one or more of the first and second channel regions. A gate dielectric layer is formed over the nitrogen-containing layer. A gate is formed on the gate dielectric.
A semiconductor device includes a first channel region formed from a first semiconductor material. A second channel region is formed from a second semiconductor material, different from the first semiconductor material. A nitrogen-containing layer is formed on one or more of the first and second channel regions. A gate is formed over the nitrogen-containing layer.
These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
The disclosure will provide details in the following description of preferred embodiments with reference to the following figures wherein:
FIG. 1 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 2 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 3 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 4 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 5 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 6 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 7 is a cross-sectional diagram of a step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 8 is a block/flow diagram of a method of forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 9 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 10 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 11 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 12 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 13 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles;
FIG. 14 is a cross-sectional diagram of an alternative step in forming a plurality of semiconductor devices in accordance with the present principles; and
FIG. 15 is a block/flow diagram of an alternative method of forming a plurality of semiconductor devices in accordance with the present principles.
DETAILED DESCRIPTION
Embodiments of the present invention provide III-V-based devices and IV-based devices on the same chip using complementary metal oxide semiconductor (CMOS) processes. The present embodiments provide distinct gate stacks between the types of devices, either with all devices having a same channel capping layer and differing gate dielectric and gate materials, with one device type having the channel capping layer and all devices having same gate dielectric and gate materials, or with one device having the channel capping layer and with the devices having differing gate dielectric and gate materials.
The most common type of semiconductor devices are based on group IV semiconductors. These semiconductors include, e.g., silicon (including polysilicon, epitaxially grown silicon, and amorphous silicon), germanium, silicon germanium, silicon carbide, and layers thereof. In addition, semiconductor devices may be formed using composite III-V semiconductors, which use one or more elements from group III on the periodic table and one or more elements from group V.
Typically, the III-V compound semiconductors are binary, ternary or quaternary alloys including III/V elements. Examples of III-V compound semiconductors that can be used in the present embodiments include, but are not limited to aluminum antimonide, aluminum arsenide, aluminum nitride, aluminum phosphide, gallium arsenide, gallium phosphide, indium antimonide, indium arsenic, indium nitride, indium phosphide, aluminum gallium arsenide, indium gallium phosphide, aluminum indium arsenic, aluminum indium antimonide, gallium arsenide nitride, gallium arsenide antimonide, aluminum gallium nitride, aluminum gallium phosphide, indium gallium nitride, indium arsenide antimonide, indium gallium antimonide, aluminum gallium indium phosphide, aluminum gallium arsenide phosphide, indium gallium arsenide phosphide, indium arsenide antimonide phosphide, aluminum indium arsenide phosphide, aluminum gallium arsenide nitride, indium gallium arsenide nitride, indium aluminum arsenide nitride, gallium arsenide antimonide nitride, gallium indium nitride arsenide aluminum antimonide, gallium indium arsenide antimonide phosphide, and combinations thereof.
The use of III-V semiconductors may be advantageous for some purposes, as they provide greater freedom to the circuit designer in selecting the particular device properties desired for an application. However, while the processes for fabricating devices based on group IV semiconductors are well developed, with many existing fabrication plants using such processes, the processes for fabricating III-V-based devices are relatively immature. The present embodiments therefore integrate both types of device on a single chip to take advantage of existing IV-type processes.
Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, a step in fabricating a set of field effect transistors is shown. A substrate is shown that includes an insulator layer 102 and a semiconductor layer 104. This embodiment specifically contemplates a semiconductor-on-insulator (SOI) substrate structure, it should be understood that other types of substrate, such as a bulk semiconductor substrate, may be used instead.
Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, a step in fabricating a set of field effect transistors is shown. A substrate is shown that includes an insulator layer 102 and a semiconductor layer 104. This embodiment specifically contemplates a semiconductor-on-insulator (SOI) substrate structure, it should be understood that other types of substrate, such as a bulk semiconductor substrate, may be used instead.
The insulator layer 102 may be any appropriate insulator or dielectric material. In specific embodiment the insulator layer 102 may be silicon dioxide, but other examples include a glass layer, a polyimide layer, a diamond-like carbon layer, etc. The semiconductor layer is specifically contemplated to be a group IV semiconductor, such as silicon germanium. It should be recognized that any appropriate group IV semiconductor may be employed, with examples including silicon (polysilicon, epitaxially grown silicon, or amorphous silicon), germanium, silicon carbide, and layers thereof. In an alternative embodiment, the semiconductor layer 104 may be a III-V semiconductor material, with indium gallium arsenide being specifically contemplated.
Referring now to FIG. 2, a step in fabricating a set of field effect transistors is shown. A region 202 of contrasting semiconductor material is formed. In one particular embodiment, it is contemplated that a trench may be formed in the semiconductor layer 104 and the contrasting semiconductor region 202 may be grown or otherwise deposited in the trench. In another embodiment, the contrasting semiconductor region 202 may be grown or otherwise deposited on the top surface of the semiconductor layer 104. The formation of the contrasting semiconductor region 202 may be performed using, e.g., epitaxial growth.
The terms “epitaxial growth and/or deposition” means the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has substantially the same crystalline characteristics as the semiconductor material of the deposition surface. The term “epitaxial material” denotes a material that is formed using epitaxial growth. In some embodiments, when the chemical reactants are controlled and the system parameters set correctly, the depositing atoms arrive at the deposition surface with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Thus, in some examples, an epitaxial film deposited on a {100} crystal surface will take on a {100} orientation.
In a first embodiment, the semiconductor layer 104 is a group IV semiconductor, such as silicon germanium, and the contrasting semiconductor region is a III-V semiconductor, such as indium gallium arsenide. In an alternative embodiment, the semiconductor layer 104 is the III-V semiconductor and the contrasting semiconductor region 202 is the group IV semiconductor. In either case, both types of semiconductor are present on the same chip.
Although the present embodiments are described with a second channel region being formed in the substrate semiconductor layer 104 itself, an alternative embodiment may have a second contrasting semiconductor region deposited on or in the substrate semiconductor layer 104. In such an embodiment, the substrate semiconductor layer 104 may be, for example, silicon, while the second contrasting semiconductor region may be, for example, silicon germanium or some other group IV semiconductor.
Referring now to FIG. 3, a step in fabricating a set of field effect transistors is shown. Doped source/drain regions 302 are formed in the contrasting semiconductor region 202. Additional doped source/drain regions 304 are formed elsewhere on the semiconductor layer 104. The first set of doped source/drain regions 302 on the contrasting semiconductor region 202 establish a first channel region 303 between them, while the second set of doped source/drain regions 304 on the semiconductor layer 104 define a second channel region 306 between them. Notably the first and second channel regions 303/306 are coplanar with one another, defined herein to mean within about 20 nm of vertical displacement with respect to one another.
The source/ drain regions 302 and 304 can be formed by any appropriate process. In one particular example, a dummy gate may be formed to define the channel regions 303 and 306, with dopant implantation being performed at the sides of the dummy gate. The dummy gate may subsequently be removed to form the actual gates, as is discussed in greater detail below. It should be noted that the depicted structure of the source/ drain regions 302 and 304 is meant to be illustrative and should not be construed as limiting—any structure for the source/drain regions 302/304, including raised source drain regions, merged source/drain regions, fin source/drain regions, etc. may be used.
It is specifically contemplated that the first source/drain regions 302 and the second source/drain regions 304 may have different respective dopant types. In particular, it is contemplated that one set of regions will be p-type and the other will be n-type. In one particular embodiment, it is contemplated that the group IV semiconductor source/drain regions will be p-type doped, while the III-V semiconductor source/drain regions will be n-type doped.
The dopant atoms in the respective source/ drain regions 302 and 304 may be an n-type dopant (i.e., an element from Group IV or VI of the Periodic Table of Elements) or a p-type dopant (i.e., an element from Group II or VI of the Periodic Table of Elements). Exemplary n-type dopants for a group IV semiconductor include phosphorus, arsenic and antimony. Exemplary p-type dopants for a group IV semiconductor include boron, aluminum, and gallium. Exemplary n-type dopants for a III-V semiconductor include selenium, tellurium, silicon, and germanium. Exemplary p-type dopants for a III-V semiconductor include beryllium, zinc, cadmium, silicon, and germanium. The concentration of dopant within the doped region is typically from about 1011 to about 1015 atoms/cm2, with a concentration of dopant within the doped region from about 1011 to about 1013 atoms/cm2 being more typical. The source/ drain regions 302 and 304 may be doped through an implantation process or may, alternatively, be grown on the underlying layer and doped in situ.
To this point, each of the present embodiments share the same structure and process. The present embodiments diverge, however, regarding the application of a silicon cap over the channels 303 and 306. In one embodiment, a semiconductor cap is formed over both channels, and in a second embodiment, the semiconductor cap is formed over only one type of device.
Referring now to FIG. 4, a step in fabricating a set of field effect transistors is shown. The surfaces may be cleaned with a compatible wet clean to remove, e.g., adventitious contaminants (organics, metals, particles, etc.). The wet clean removes any native oxides that may have formed on the surfaces that would result in poorly controlled thickness and composition of the gate dielectrics. The wet clean may include application of dilute hydrofluoric acid, followed by hydrochloric acid for indium gallium arsenide and silicon germanium channels. Respective semiconductor layers 402 and 404 are formed on a top surface of both the semiconductor channel 306 and the contrasting semiconductor channel 303. It is specifically contemplated that the semiconductor layers 402/404 may be formed from silicon, but any appropriate semiconductor capping material may be used. In particular, the semiconductor layers 402/404 may be formed from, e.g., amorphous, epitaxial, crystalline, or polycrystalline silicon, may include hydrogen, may be partially or completely oxidized or nitride, and may be formed from multilayers of any of the above. The semiconductor layers 402/404 may be formed using any appropriate deposition process. In one embodiment, mask 406 is deposited over the device, with gaps over the semiconductor channel 306 and the contrasting semiconductor channel 303, to limit the deposition of the semiconductor layers 402/404 to only the channel areas.
The mask 406 may be formed by any appropriate dielectric or insulator material, such as a hardmask material or bulk dielectric. Materials for the mask 406 may include, e.g., silicon dioxide or silicon nitride. It should be understood that the mask 406 may have any appropriate shape, leaving areas other than just the channels 303 and 306 uncovered. In an alternative embodiment, the mask 406 may be omitted entirely, with the nitrogen-containing region being formed on arbitrary regions and later being removed as needed.
Exemplary deposition processes for the semiconductor layers include, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or gas cluster ion beam (GCIB) deposition. CVD is a deposition process in which a deposited species is formed as a result of chemical reaction between gaseous reactants at greater than room temperature (e.g., from about 25° C. about 900° C.). The solid product of the reaction is deposited on the surface on which a film, coating, or layer of the solid product is to be formed. Variations of CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), and Metal-Organic CVD (MOCVD) and combinations thereof may also be employed. In alternative embodiments that use PVD, a sputtering apparatus may include direct-current diode systems, radio frequency sputtering, magnetron sputtering, or ionized metal plasma sputtering. In alternative embodiments that use ALD, chemical precursors react with the surface of a material one at a time to deposit a thin film on the surface. In alternative embodiments that use GCIB deposition, a high-pressure gas is allowed to expand in a vacuum, subsequently condensing into clusters. The clusters can be ionized and directed onto a surface, providing a highly anisotropic deposition.
Referring now to FIG. 5, a step in fabricating a set of field effect transistors is shown. A gate dielectric layer 502 is deposited over the semiconductor layers 402/404 using a high-k dielectric material. In general, a “high-k” dielectric material is one that has a dielectric constant k that is higher than the dielectric constant of silicon dioxide (e.g., greater than about 3.9) at room temperature and atmospheric pressure. In one embodiment, the least one gate dielectric layer is composed of a high-k oxide such as, for example, hafnium dioxide, zirconium dioxide, aluminum oxide, titanium dioxide, lanthanum oxide, strontium titanium oxide, lanthanum aluminum oxide, yttrium oxide, and mixtures thereof. Other examples of high-k dielectric materials for the at least one gate dielectric layer include hafnium silicate, hafnium silicon oxynitride or combinations thereof. Formation of the gate dielectric layer 502 may include an optional activation step for nucleation on the semiconductor layers 402/404. Activation improves subsequent nucleation of the high-k material during ALD, resulting in better film continuity and improved gate leakage characteristics. Activation may include treatment of the surface with, e.g., ozone.
Optionally, a nitrogen-containing layer may be applied to the gate dielectric layer 502 to form a nitrided gate dielectric layer. The nitrogen-containing layer may be applied before or after the gate dielectric layer 502 is deposited and annealed to combine the nitrogen-containing material with the high-k dielectric material. The nitrogen-containing layer may be formed using, e.g., an ammonia anneal, nitrogen plasma, etc.
Referring now to FIG. 6, a step in fabricating a set of field effect transistors is shown. A gate metal 602 is deposited over the gate dielectric layer 502. The gate metal 602 may be any appropriate conducting metal including, but not limited to, tungsten, nickel, titanium, molybdenum, tantalum, copper, platinum, silver, gold, rubidium, iridium, rhodium, rhenium, and alloys that include at least one of the aforementioned conductive elemental metals. The gate material may alternatively include nitrides or carbides in alloys with the gate metal. The gate metal 602 may also include layers of, e.g., elemental aluminum or tungsten above the alloy layers.
Referring now to FIG. 7, an alternative step in fabricating a set of field effect transistors is shown. In this embodiment, the two devices have different high-k gate dielectric materials 702 and 706 and different gate metal materials 704 and 708. This may be used to provide a dual gate stack, with different devices having markedly different properties tailored to their particular applications.
Referring now to FIG. 8, a method of forming a set of field effect transistors is shown. Block 802 forms the contrasting semiconductor region 202 on a semiconductor layer 104, with one of the two semiconductor materials being a group IV semiconductor and the other being a III-V semiconductor. Block 804 forms source and drain regions 302 in the contrasting region 202, defining a contrasting semiconductor channel 303, as well as source and drain regions 304 in the semiconductor layer 104, defining the semiconductor channel 306.
Block 806 forms nitrogen-containing regions 402 and 404 on the respective contrasting channel regions 303 and 306. Block 806 may perform any appropriate blocking or masking needed to accomplish this, in one exemplary embodiment creating dummy gates, filling in a dielectric layer 406, and then removing the dummy gates. Block 806 then performs, for example, an ammonia anneal or nitrogen plasma treatment to create a layer of nitrogen-containing material on the surface of the channels 303/306. Block 808 deposits the gate dielectric 502 over the nitrogen-containing layers 402/404 and block 810 forms a gate 602 over the gate dielectric 502.
In an optional embodiment, the gate dielectric and gate materials may be different in different devices, according to the design needs of the devices. In this case, blocks 808 and 810 form the first gate dielectric 702 and first gate 704, while blocks 812 and 814 form a second gate dielectric 706 and gate 708 respectively.
It is to be understood that the present invention will be described in terms of a given illustrative architecture having a wafer; however, other architectures, structures, substrate materials and process features and steps may be varied within the scope of the present invention.
It will also be understood that when an element such as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
A design for an integrated circuit chip may be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
Methods as described herein may be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
Reference in the specification to “one embodiment” or “an embodiment” of the present principles, as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment of the present principles. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment”, as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment.
It is to be appreciated that the use of any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B). As a further example, in the cases of “A, B, and/or C” and “at least one of A, B, and C”, such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C). This may be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
Referring now to FIG. 9, a step in fabricating an alternative embodiment of a set of field effect transistors is shown. The surfaces may be cleaned with a compatible wet clean to remove, e.g., adventitious contaminants (organics, metals, particles, etc.). The wet clean removes any native oxides that may have formed on the surfaces that would result in poorly controlled thickness and composition of the gate dielectrics. This embodiment produces a nitrogen-containing layer on only one of the devices and branches off of FIG. 3 above, depositing a two-layer mask over the surface. The mask includes a first masking layer 902 and a second masking layer 904 that have etch selectivity with respect to one another. In one particular example, the first masking layer 902 is formed from an aluminum oxide while the second masking layer 904 is formed from a silicon dioxide layer. In alternative embodiments, only one masking layer may be used or the layers may be formed from alternative materials such as, e.g., silicon or germanium. The materials are selected for wet-chemical etch compatibility. The two masking layers 902/904 may be deposited by any appropriate process including, e.g., CVD, PVD, ALD, and GCIB deposition.
Referring now to FIG. 10, a step in fabricating an alternative embodiment of a set of field effect transistors is shown. A photoresist 1006 is used to cover one of the device regions (in this case, the III-V device) while the masking layers 902 and 904 are etched away in the area above the III-V type region, leaving etched masking layers 1002 and 1004. Notably, while the mask is shown as covering the group IV region and exposing the contrasting region (e.g., the type III-V semiconductor), it should be understood that the mask may instead be removed over the group IV region. The etch may be performed using an anisotropic etch, such as a reactive ion etch (RIE) with a subsequent application of a buffered oxide etch to clean residues from the exposed surface.
Referring now to FIG. 11, a step in fabricating an alternative embodiment of a set of field effect transistors is shown. The photoresist 1006 is stripped away and a wet etch is used to remove the top masking layer 904. In the present example, where the top masking layer 904 is formed from silicon dioxide, a dilute hydrofluoric acid wash may be used to remove the top masking layer 904, leaving the bottom masking layer 902 exposed.
Referring now to FIG. 12, a step in fabricating an alternative embodiment of a set of field effect transistors is shown. A semiconductor cap 1204 is formed on a top surface of exposed channel 303. The semiconductor cap 1204 may be formed from any appropriate semiconductor material, with silicon being contemplated in particular, and may be deposited using any appropriate process such as, e.g., CVD, PVD, ALD, or GCIB. A mask 1202 is deposited over the device, with gaps over the exposed channel 303, to limit the deposition of the semiconductor cap 1204 to only the channel areas. The mask 1202 may be formed by any appropriate dielectric or insulator material, such as a hardmask material or bulk dielectric. Materials for the mask 1202 may include, e.g., silicon dioxide or silicon nitride. The mask 1202 may be formed by any appropriate process including, e.g., forming a dummy gate, depositing a masking material, and then removing the dummy gate.
Referring now to FIG. 13, a step in fabricating an alternative embodiment of a set of field effect transistors is shown. The mask 1202 is extended over the source and drain regions 304 around the group IV semiconductor channel 306 to form mask 1302. The mask 1302 may be extended by any appropriate process including, e.g., blocking the existing mask 1202, forming a dummy gate, depositing dielectric, and removing the dummy gate and blocking structure.
In one embodiment, a layer of oxide material, for example an aluminum oxide, may optionally be deposited on the channels 303/306 and over the semiconductor cap 1204. Forming such a layer may improve the interface state density, in particular for III-V semiconductors. In addition, such a layer may be used to tune the threshold voltage of devices based on group IV semiconductors such as silicon or silicon germanium.
Referring now to FIG. 14, a step in fabricating an alternative embodiment of a set of field effect transistors is shown. A gate stack 1402 is formed over both channel regions 303/306. The gate stack includes a high-k dielectric layer and a gate material, as described in FIG. 6 above. Alternatively, the gate stacks may be formed with differing gate dielectrics and gate materials, as described in FIG. 7 above. It is specifically contemplated that hafnium oxide may be employed for the gate stack 1402, but any appropriate dielectric material may be used instead.
As an alternative to using an oxide layer underneath the high-k gate dielectric layer, an oxide layer may be deposited above the gate dielectric. In one particular embodiment, where hafnium oxide is used for the gate dielectric layer, aluminum oxide is used between the gate dielectric and the gate material in the gate stack 1402.
Referring now to FIG. 15, an alternative method of forming a set of field effect transistors is shown. Block 1502 forms the contrasting semiconductor region 202 on a semiconductor layer 104, with one of the two semiconductor materials being a group IV semiconductor and the other being a III-V semiconductor. Block 1504 forms source and drain regions 302 in the contrasting region 202, defining a contrasting semiconductor channel 303, as well as source and drain regions 304 in the semiconductor layer 104, defining the semiconductor channel 306.
Block 1506 masks one device region. The masked region may be either the group IV semiconductor layer 104 or the contrasting semiconductor region 202. The mask may be a mono-layer mask, a dual-layer mask, or any other appropriate masking configuration. Block 1508 then forms a silicon cap 1204 on the unmasked channel using any appropriate deposition process such as, e.g., CVD, PVD, ALD, or GCIB.
The masking process depicted above in FIGS. 9-12 is specifically drawn to a single-mask flow, but a two-mask flow is also possible. In the two-mask process, block 1509 forms a mask over the nitrogen-containing layer 1204, providing an opportunity to remove the remaining mask over the uncapped region. Block 1510 removes that mask and any other masks to expose the channel regions.
Block 1512 deposits a gate dielectric 502 over the channel regions and block 1514 forms a gate over the gate dielectric. In an optional embodiment, the gate dielectric and gate materials may be different in different devices, according to the design needs of the devices. In this case, blocks 1512 and 1514 form a first gate dielectric and first gate, while blocks 1516 and 1518 form a second gate dielectric and gate respectively.
Having described preferred embodiments of a system and method (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.

Claims (12)

What is claimed is:
1. A method for forming a plurality of semiconductor devices, comprising:
forming first source and drain regions by implanting a region of a continuous semiconductor substrate formed from a group IV semiconductor material to define a first, p-type channel region in the substrate between the first source and drain regions;
forming a trench in the continuous semiconductor substrate, the trench being defined on sides and a bottom by the group IV semiconductor material;
forming a region of III-V semiconductor material embedded in the trench in the continuous semiconductor substrate by completely filling the trench with the III-V semiconductor material, the region of III-V semiconductor material comprising second source and drain regions and a second, n-type channel region between the second source and drain regions;
forming a silicon cap on the second, n-type channel region after cleaning top surfaces of the first and second channel regions, by masking the first, p-type channel region and depositing silicon with a directional deposition process;
activating the silicon cap to improve gate dielectric nucleation;
forming a gate dielectric layer over the silicon cap after activating the silicon cap; and
forming a gate on the gate dielectric.
2. The method of claim 1, wherein the first, p-type channel region is coplanar with the second, n-type channel region.
3. The method of claim 2, wherein the first source and drain regions are coplanar with the first, p-type channel region and wherein the second source and drain regions are coplanar with the second, n-type channel region.
4. The method of claim 1, wherein forming the silicon cap comprises forming the silicon cap on only the second channel region.
5. The method of claim 1, wherein forming the gate dielectric layer and the gate comprise forming a first gate dielectric layer and a first gate over only one of the first and second channel regions, further comprising:
forming a second gate dielectric layer on the other of the first and second channel regions, wherein the second gate dielectric layer is formed from a dielectric material different from the material of the first gate dielectric layer; and
forming a second gate on the second dielectric layer, wherein the second gate is formed from a gate material that is the same as the material of the first gate.
6. The method of claim 1, wherein forming the gate dielectric layer and the gate comprise forming a first gate dielectric layer and a first gate over only one of the first and second channel regions, further comprising:
forming a second gate dielectric layer on the other of the first and second channel regions, wherein the second gate dielectric layer is formed from a dielectric material that is the same as the material of the first gate dielectric layer; and
forming a second gate on the second dielectric layer, wherein the second gate is formed from a gate material different from the material of the first gate.
7. The method of claim 1, wherein forming the gate dielectric layer and the gate comprise forming a first gate dielectric layer and a first gate over only one of the first and second channel regions, further comprising:
forming a second gate dielectric layer on the other of the first and second channel regions, wherein the second gate dielectric layer is formed from a dielectric material different from the material of the first gate dielectric layer; and
forming a second gate on the second dielectric layer, wherein the second gate is formed from a gate material different from the material of the first gate.
8. The method of claim 1, further comprising:
applying a nitrogen-containing layer on the gate dielectric layer from a nitrogen-containing material; and
annealing the nitrogen-containing layer to combine the nitrogen-containing material with a gate dielectric material of the gate dielectric layer.
9. The method of claim 1, wherein cleaning the top surfaces comprises a first wet clean that includes dilute hydrofluoric acid followed by a second wet clean that includes hydrochloric acid.
10. The method of claim 1, wherein activating the silicon cap comprises treating a surface of the silicon cap with ozone.
11. A method for forming a plurality of semiconductor devices, comprising:
forming first source and drain regions by implanting a region of a continuous semiconductor substrate formed from a group IV semiconductor material to define a first p-type channel region in the substrate between the first source and drain regions;
forming a trench in the continuous semiconductor substrate, the trench being defined on sides and a bottom by the group IV semiconductor material;
forming a region of III-V semiconductor material embedded in the trench in the continuous semiconductor substrate by completely filling the trench with the III-V semiconductor material, the region of III-V semiconductor material comprising second source and drain regions and a second n-type channel region between the second source and drain regions, coplanar with the first p-type channel region;
forming a silicon cap on one or more of the first and second channel regions after cleaning top surfaces of the first and second channel regions by depositing silicon with a directional deposition process;
activating the silicon cap to improve gate dielectric nucleation;
forming a gate dielectric layer over the silicon cap after activating the silicon cap; and
forming a gate on the gate dielectric.
12. The method of claim 11, wherein forming the silicon cap comprises forming the silicon cap on only one of the first and second channel regions.
US15/051,804 2016-02-24 2016-02-24 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap Active US10593600B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/051,804 US10593600B2 (en) 2016-02-24 2016-02-24 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US15/649,122 US10504799B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US15/649,182 US10672671B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/051,804 US10593600B2 (en) 2016-02-24 2016-02-24 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/649,182 Division US10672671B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US15/649,122 Division US10504799B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap

Publications (2)

Publication Number Publication Date
US20170243789A1 US20170243789A1 (en) 2017-08-24
US10593600B2 true US10593600B2 (en) 2020-03-17

Family

ID=59629512

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/051,804 Active US10593600B2 (en) 2016-02-24 2016-02-24 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US15/649,182 Active US10672671B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US15/649,122 Active US10504799B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/649,182 Active US10672671B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US15/649,122 Active US10504799B2 (en) 2016-02-24 2017-07-13 Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap

Country Status (1)

Country Link
US (3) US10593600B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US11502106B2 (en) * 2020-02-11 2022-11-15 Globalfoundries U.S. Inc. Multi-layered substrates of semiconductor devices
US20230029647A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Ni(Al)O P-TYPE SEMICONDUCTOR VIA SELECTIVE OXIDATION OF NiAl AND METHODS OF FORMING THE SAME

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4507158A (en) 1983-08-12 1985-03-26 Hewlett-Packard Co. Trench isolated transistors in semiconductor films
US5254489A (en) 1990-10-18 1993-10-19 Nec Corporation Method of manufacturing semiconductor device by forming first and second oxide films by use of nitridation
US5384473A (en) 1991-10-01 1995-01-24 Kabushiki Kaisha Toshiba Semiconductor body having element formation surfaces with different orientations
US5596218A (en) 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5674788A (en) 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US6093661A (en) 1999-08-30 2000-07-25 Micron Technology, Inc. Integrated circuitry and semiconductor processing method of forming field effect transistors
US6171936B1 (en) 1997-09-16 2001-01-09 Massachusetts Institute Of Technology Method of producing co-planar Si and Ge composite substrate
US6180465B1 (en) 1998-11-20 2001-01-30 Advanced Micro Devices Method of making high performance MOSFET with channel scaling mask feature
US20020024094A1 (en) 1999-07-29 2002-02-28 Guang-Bo Gao Novel cmos circuit of gaas/ge on si substrate
US6436771B1 (en) 2001-07-12 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming a semiconductor device with multiple thickness gate dielectric layers
US20020185693A1 (en) 2001-06-12 2002-12-12 Yuri Yasuda Semiconductor device and method for manufacturing the same
US20020190268A1 (en) 2001-06-13 2002-12-19 Zhongze Wang Methods of forming transistor devices
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US20030102490A1 (en) 2000-12-26 2003-06-05 Minoru Kubo Semiconductor device and its manufacturing method
US6821833B1 (en) 2003-09-09 2004-11-23 International Business Machines Corporation Method for separately optimizing thin gate dielectric of PMOS and NMOS transistors within the same semiconductor chip and device manufactured thereby
US20040256700A1 (en) 2003-06-17 2004-12-23 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US20050093104A1 (en) 2003-10-29 2005-05-05 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US20050118826A1 (en) * 2003-12-02 2005-06-02 International Business Machines Corporation Ultra-thin Si MOSFET device structure and method of manufacture
US7001810B2 (en) 2002-02-08 2006-02-21 Promos Technologies Inc. Floating gate nitridation
US20060113605A1 (en) 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid fin field-effect transistor structures and related methods
US20060244069A1 (en) 2005-04-29 2006-11-02 Karsten Wieczorek Semiconductor device having a gate dielectric of different blocking characteristics
US7138691B2 (en) 2004-01-22 2006-11-21 International Business Machines Corporation Selective nitridation of gate oxides
US20060289895A1 (en) 2005-06-23 2006-12-28 Kabushiki Kaisha Toshiba Semiconductor device
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US20070138563A1 (en) 2005-12-16 2007-06-21 International Business Machines Corporation Dual metal gate self-aligned integration
US20070145481A1 (en) 2005-12-22 2007-06-28 Armin Tilke Silicon-on-insulator chip having multiple crystal orientations
US20070181977A1 (en) 2005-07-26 2007-08-09 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US20070252216A1 (en) 2006-04-28 2007-11-01 Infineon Technologies Ag Semiconductor device and a method of manufacturing such a semiconductor device
US7381619B2 (en) 2004-04-27 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work-function metal gates
US20080132018A1 (en) 2006-12-01 2008-06-05 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7388278B2 (en) 2005-03-24 2008-06-17 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
US20080142910A1 (en) 2006-12-19 2008-06-19 Yong-Ho Oh Semiconductor device
US20080179636A1 (en) 2007-01-27 2008-07-31 International Business Machines Corporation N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US7439542B2 (en) 2004-10-05 2008-10-21 International Business Machines Corporation Hybrid orientation CMOS with partial insulation process
US20080258134A1 (en) * 2007-04-23 2008-10-23 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (sti) regions with maskless superlattice deposition following sti formation and related structures
US20090042344A1 (en) 2007-06-15 2009-02-12 Amberwave Systems Corporation InP-Based Transistor Fabrication
US20090095981A1 (en) 2007-10-16 2009-04-16 Samsung Electronics Co., Ltd. Complementary metal oxide semiconductor device and method of manufacturing the same
US20090224369A1 (en) 2006-06-19 2009-09-10 Harold Samuel Gamble IC Substrate and Method of Manufacture of IC Substrate
US20090243031A1 (en) 2008-03-26 2009-10-01 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US20090289306A1 (en) 2008-05-21 2009-11-26 Kabushiki Kaisha Toshiba Lateral oxidation with high-k dielectric liner
US20090315114A1 (en) * 2008-06-23 2009-12-24 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20090321794A1 (en) 2006-09-07 2009-12-31 International Business Machines Corporation Cmos devices incorporating hybrid orientation technology (hot) with embedded connectors
US7741167B2 (en) 2006-10-31 2010-06-22 Advanced Micro Devices, Inc. Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain
US20100213512A1 (en) * 2009-02-24 2010-08-26 Chih-Hsin Ko High-Mobility Channel Devices on Dislocation-Blocking Layers
US20100258881A1 (en) 2009-04-14 2010-10-14 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k fets
US7834456B2 (en) 2009-01-20 2010-11-16 Raytheon Company Electrical contacts for CMOS devices and III-V devices formed on a silicon substrate
US20100304548A1 (en) 2009-05-29 2010-12-02 Turner Michael D Silicon Nitride Hardstop Encapsulation Layer for STI Region
CN101976667A (en) 2010-09-06 2011-02-16 清华大学 High-performance complementary metal oxide semiconductor (CMOS) device
US20110204454A1 (en) * 2010-02-23 2011-08-25 Texas Instruments Incorporated Semiconductor device including sion gate dielectric with portions having different nitrogen concentrations
US20110223756A1 (en) * 2010-03-11 2011-09-15 Schaeffer James K Method of Enhancing Photoresist Adhesion to Rare Earth Oxides
US8021990B2 (en) 2002-01-23 2011-09-20 Texas Instruments Incorporated Gate structure and method
US20120083089A1 (en) 2010-10-01 2012-04-05 Jin-Bum Kim Fabricating method of metal silicide layer, fabricating method of semiconductor device using the same and semiconductor device fabricated using the method
US20120108026A1 (en) * 2010-10-27 2012-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
US20120112208A1 (en) * 2010-11-09 2012-05-10 International Business Machines Corporation Stressed transistor with improved metastability
US20120129330A1 (en) 2010-11-22 2012-05-24 Weonhong Kim Semiconductor devices employing high-k dielectric layers as a gate insulating layer and methods of fabricating the same
US20120248503A1 (en) 2010-11-10 2012-10-04 Huo Zongliang Semiconductor memory cell, device, and method for manufacturing the same
US8293599B2 (en) 2008-11-19 2012-10-23 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices having gates with different work functions using selective injection of diffusion inhibiting materials
US8324090B2 (en) 2008-08-28 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve dielectric quality in high-k metal gate technology
US20120319170A1 (en) 2010-02-26 2012-12-20 Sumitomo Chemical Company, Limited Electronic device and method for producing electronic device
US20130032860A1 (en) 2011-08-01 2013-02-07 Fabio Alessio Marino HFET with low access resistance
US8383483B2 (en) 2005-12-30 2013-02-26 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating same
US8404544B1 (en) * 2012-04-13 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication methods of integrated semiconductor structure
US8432002B2 (en) 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
US20130105859A1 (en) 2011-06-20 2013-05-02 Guilei Wang Semiconductor device and method of manufacturing the same
US20130153964A1 (en) * 2011-12-15 2013-06-20 International Business Machines Corporation FETs with Hybrid Channel Materials
US20130161698A1 (en) 2011-12-27 2013-06-27 Fabio Alessio Marino E-mode hfet device
US20130200442A1 (en) 2012-02-07 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
US20130264609A1 (en) 2011-05-16 2013-10-10 Zengfeng Di Semiconductor Structure of Hybrid of Coplanar Ge and III-V and Preparation Method Thereof
US20130270512A1 (en) 2011-12-19 2013-10-17 Marko Radosavljevic Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US20130277765A1 (en) 2012-04-23 2013-10-24 Globalfoundries Inc. Semiconductor device including graded gate stack, related method and design structure
US20140017859A1 (en) * 2012-01-10 2014-01-16 International Business Machines Corporation Method for fabricating transistor with recessed channel and raised source/drain
US8669155B2 (en) 2010-09-03 2014-03-11 Institute of Microelectronics, Chinese Academy of Sciences Hybrid channel semiconductor device and method for forming the same
US20140084387A1 (en) 2012-09-26 2014-03-27 Gilbert Dewey Non-planar iii-v field effect transistors with conformal metal gate electrode & nitrogen doping of gate dielectric interface
US20140131770A1 (en) 2012-11-15 2014-05-15 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
US20140217467A1 (en) * 2013-02-04 2014-08-07 Globalfoundries Inc. Methods of forming substrates comprised of different semiconductor materials and the resulting device
US20140264362A1 (en) 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus for Forming a CMOS Device
US8871615B2 (en) 2013-03-25 2014-10-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
CN104299971A (en) 2013-07-16 2015-01-21 台湾积体电路制造股份有限公司 MOS devices with non-uniform p-type impurity profile
US20150024601A1 (en) 2013-07-22 2015-01-22 Institute Of Semiconductors, Chinese Academy Of Sciences Method of manufacturing si-based high-mobility group iii-v/ge channel cmos
US20150021696A1 (en) 2013-07-17 2015-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. MOS Devices Having Epitaxy Regions with Reduced Facets
US20150035055A1 (en) 2011-12-01 2015-02-05 Institute Of Microelectornics, Chinese Academy Of Sciences Semiconductor device and manufacturing method therefor
US20150048417A1 (en) 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium Barrier Embedded in MOS Devices
US20150061024A1 (en) 2013-09-03 2015-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source and Drain Stressors with Recessed Top Surfaces
US20160035896A1 (en) 2014-07-29 2016-02-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and electronic device
US9355917B2 (en) 2013-01-07 2016-05-31 Samsung Electronics Co., Ltd. Complementary metal oxide semiconductor device, optical apparatus including the same, and method of manufacturing the same
US9461034B2 (en) 2014-06-23 2016-10-04 Infineon Technologies Americas Corp. Composite group III-V and group IV transistor having a switched substrate
US20170141227A1 (en) 2015-11-13 2017-05-18 Globalfoundries Inc. Methods of forming pmos finfet devices and multiple nmos finfet devices with different performance characteristics
US20170243867A1 (en) 2016-02-24 2017-08-24 International Business Machines Corporation Patterned gate dielectrics for iii-v-based cmos circuits
US20170243789A1 (en) 2016-02-24 2017-08-24 International Business Machines Corporation Distinct gate stacks for iii-v-based cmos circuits comprising a channel cap
US9780174B2 (en) 2013-02-01 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9799767B2 (en) 2015-11-13 2017-10-24 Globalfoundries Inc. Methods of forming PMOS and NMOS FinFET devices on CMOS based integrated circuit products

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101976676A (en) 2010-09-13 2011-02-16 北京大学 Three-dimensional nonvolatile memory array and preparation method thereof
US9586116B2 (en) 2013-08-19 2017-03-07 David Churchman Training system and method

Patent Citations (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4507158A (en) 1983-08-12 1985-03-26 Hewlett-Packard Co. Trench isolated transistors in semiconductor films
US5254489A (en) 1990-10-18 1993-10-19 Nec Corporation Method of manufacturing semiconductor device by forming first and second oxide films by use of nitridation
US5384473A (en) 1991-10-01 1995-01-24 Kabushiki Kaisha Toshiba Semiconductor body having element formation surfaces with different orientations
US5596218A (en) 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5674788A (en) 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US6171936B1 (en) 1997-09-16 2001-01-09 Massachusetts Institute Of Technology Method of producing co-planar Si and Ge composite substrate
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6180465B1 (en) 1998-11-20 2001-01-30 Advanced Micro Devices Method of making high performance MOSFET with channel scaling mask feature
US20020024094A1 (en) 1999-07-29 2002-02-28 Guang-Bo Gao Novel cmos circuit of gaas/ge on si substrate
US6093661A (en) 1999-08-30 2000-07-25 Micron Technology, Inc. Integrated circuitry and semiconductor processing method of forming field effect transistors
US20030102490A1 (en) 2000-12-26 2003-06-05 Minoru Kubo Semiconductor device and its manufacturing method
US6756635B2 (en) 2001-06-12 2004-06-29 Nec Electronics Corporation Semiconductor substrate including multiple nitrided gate insulating films
US20020185693A1 (en) 2001-06-12 2002-12-12 Yuri Yasuda Semiconductor device and method for manufacturing the same
US20020190268A1 (en) 2001-06-13 2002-12-19 Zhongze Wang Methods of forming transistor devices
US6949479B2 (en) 2001-06-13 2005-09-27 Micron Technology, Inc. Methods of forming transistor devices
US6436771B1 (en) 2001-07-12 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming a semiconductor device with multiple thickness gate dielectric layers
US8021990B2 (en) 2002-01-23 2011-09-20 Texas Instruments Incorporated Gate structure and method
US7001810B2 (en) 2002-02-08 2006-02-21 Promos Technologies Inc. Floating gate nitridation
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US7514373B2 (en) 2003-05-28 2009-04-07 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US7329923B2 (en) 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US20040256700A1 (en) 2003-06-17 2004-12-23 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US6821833B1 (en) 2003-09-09 2004-11-23 International Business Machines Corporation Method for separately optimizing thin gate dielectric of PMOS and NMOS transistors within the same semiconductor chip and device manufactured thereby
US20050093104A1 (en) 2003-10-29 2005-05-05 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US20050118826A1 (en) * 2003-12-02 2005-06-02 International Business Machines Corporation Ultra-thin Si MOSFET device structure and method of manufacture
US7138691B2 (en) 2004-01-22 2006-11-21 International Business Machines Corporation Selective nitridation of gate oxides
US7381619B2 (en) 2004-04-27 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work-function metal gates
US7439542B2 (en) 2004-10-05 2008-10-21 International Business Machines Corporation Hybrid orientation CMOS with partial insulation process
US20060113605A1 (en) 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid fin field-effect transistor structures and related methods
US7388278B2 (en) 2005-03-24 2008-06-17 International Business Machines Corporation High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
US20060244069A1 (en) 2005-04-29 2006-11-02 Karsten Wieczorek Semiconductor device having a gate dielectric of different blocking characteristics
US20060289895A1 (en) 2005-06-23 2006-12-28 Kabushiki Kaisha Toshiba Semiconductor device
US20070181977A1 (en) 2005-07-26 2007-08-09 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7626246B2 (en) 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US20070138563A1 (en) 2005-12-16 2007-06-21 International Business Machines Corporation Dual metal gate self-aligned integration
US7872317B2 (en) 2005-12-16 2011-01-18 International Business Machines Corporation Dual metal gate self-aligned integration
US20070145481A1 (en) 2005-12-22 2007-06-28 Armin Tilke Silicon-on-insulator chip having multiple crystal orientations
US8383483B2 (en) 2005-12-30 2013-02-26 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating same
US20070252216A1 (en) 2006-04-28 2007-11-01 Infineon Technologies Ag Semiconductor device and a method of manufacturing such a semiconductor device
US20090224369A1 (en) 2006-06-19 2009-09-10 Harold Samuel Gamble IC Substrate and Method of Manufacture of IC Substrate
US8237247B2 (en) 2006-09-07 2012-08-07 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US20090321794A1 (en) 2006-09-07 2009-12-31 International Business Machines Corporation Cmos devices incorporating hybrid orientation technology (hot) with embedded connectors
US7741167B2 (en) 2006-10-31 2010-06-22 Advanced Micro Devices, Inc. Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain
US20080132018A1 (en) 2006-12-01 2008-06-05 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080142910A1 (en) 2006-12-19 2008-06-19 Yong-Ho Oh Semiconductor device
US20080179636A1 (en) 2007-01-27 2008-07-31 International Business Machines Corporation N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US20080258134A1 (en) * 2007-04-23 2008-10-23 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (sti) regions with maskless superlattice deposition following sti formation and related structures
US20090042344A1 (en) 2007-06-15 2009-02-12 Amberwave Systems Corporation InP-Based Transistor Fabrication
US20090095981A1 (en) 2007-10-16 2009-04-16 Samsung Electronics Co., Ltd. Complementary metal oxide semiconductor device and method of manufacturing the same
US20090243031A1 (en) 2008-03-26 2009-10-01 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US20090289306A1 (en) 2008-05-21 2009-11-26 Kabushiki Kaisha Toshiba Lateral oxidation with high-k dielectric liner
US20090315114A1 (en) * 2008-06-23 2009-12-24 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8324090B2 (en) 2008-08-28 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve dielectric quality in high-k metal gate technology
US8293599B2 (en) 2008-11-19 2012-10-23 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices having gates with different work functions using selective injection of diffusion inhibiting materials
US7834456B2 (en) 2009-01-20 2010-11-16 Raytheon Company Electrical contacts for CMOS devices and III-V devices formed on a silicon substrate
US20100213512A1 (en) * 2009-02-24 2010-08-26 Chih-Hsin Ko High-Mobility Channel Devices on Dislocation-Blocking Layers
US8053304B2 (en) 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
US20100258881A1 (en) 2009-04-14 2010-10-14 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k fets
US20100304548A1 (en) 2009-05-29 2010-12-02 Turner Michael D Silicon Nitride Hardstop Encapsulation Layer for STI Region
US20110204454A1 (en) * 2010-02-23 2011-08-25 Texas Instruments Incorporated Semiconductor device including sion gate dielectric with portions having different nitrogen concentrations
US20120319170A1 (en) 2010-02-26 2012-12-20 Sumitomo Chemical Company, Limited Electronic device and method for producing electronic device
US20110223756A1 (en) * 2010-03-11 2011-09-15 Schaeffer James K Method of Enhancing Photoresist Adhesion to Rare Earth Oxides
US8669155B2 (en) 2010-09-03 2014-03-11 Institute of Microelectronics, Chinese Academy of Sciences Hybrid channel semiconductor device and method for forming the same
CN101976667A (en) 2010-09-06 2011-02-16 清华大学 High-performance complementary metal oxide semiconductor (CMOS) device
US20120083089A1 (en) 2010-10-01 2012-04-05 Jin-Bum Kim Fabricating method of metal silicide layer, fabricating method of semiconductor device using the same and semiconductor device fabricated using the method
US20120108026A1 (en) * 2010-10-27 2012-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
US20120112208A1 (en) * 2010-11-09 2012-05-10 International Business Machines Corporation Stressed transistor with improved metastability
US20120248503A1 (en) 2010-11-10 2012-10-04 Huo Zongliang Semiconductor memory cell, device, and method for manufacturing the same
US8652908B2 (en) 2010-11-22 2014-02-18 Samsung Electronics Co., Ltd. Semiconductor devices employing high-K dielectric layers as a gate insulating layer and methods of fabricating the same
US20120129330A1 (en) 2010-11-22 2012-05-24 Weonhong Kim Semiconductor devices employing high-k dielectric layers as a gate insulating layer and methods of fabricating the same
US20130264609A1 (en) 2011-05-16 2013-10-10 Zengfeng Di Semiconductor Structure of Hybrid of Coplanar Ge and III-V and Preparation Method Thereof
US20130105859A1 (en) 2011-06-20 2013-05-02 Guilei Wang Semiconductor device and method of manufacturing the same
US8432002B2 (en) 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
US20130032860A1 (en) 2011-08-01 2013-02-07 Fabio Alessio Marino HFET with low access resistance
US20150035055A1 (en) 2011-12-01 2015-02-05 Institute Of Microelectornics, Chinese Academy Of Sciences Semiconductor device and manufacturing method therefor
US20130153964A1 (en) * 2011-12-15 2013-06-20 International Business Machines Corporation FETs with Hybrid Channel Materials
US8610172B2 (en) 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
US20130270512A1 (en) 2011-12-19 2013-10-17 Marko Radosavljevic Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US20130161698A1 (en) 2011-12-27 2013-06-27 Fabio Alessio Marino E-mode hfet device
US20140017859A1 (en) * 2012-01-10 2014-01-16 International Business Machines Corporation Method for fabricating transistor with recessed channel and raised source/drain
US20130200442A1 (en) 2012-02-07 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
US8404544B1 (en) * 2012-04-13 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication methods of integrated semiconductor structure
US20130277765A1 (en) 2012-04-23 2013-10-24 Globalfoundries Inc. Semiconductor device including graded gate stack, related method and design structure
US20140084387A1 (en) 2012-09-26 2014-03-27 Gilbert Dewey Non-planar iii-v field effect transistors with conformal metal gate electrode & nitrogen doping of gate dielectric interface
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US20150072498A1 (en) 2012-09-26 2015-03-12 Intel Corporation Non-planar iii-v field effect transistors with conformal metal gate electrode & nitrogen doping of gate dielectric interface
US20140131770A1 (en) 2012-11-15 2014-05-15 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
US8975635B2 (en) 2012-11-15 2015-03-10 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
US8841177B2 (en) 2012-11-15 2014-09-23 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
US20140134811A1 (en) * 2012-11-15 2014-05-15 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
US9355917B2 (en) 2013-01-07 2016-05-31 Samsung Electronics Co., Ltd. Complementary metal oxide semiconductor device, optical apparatus including the same, and method of manufacturing the same
US9780174B2 (en) 2013-02-01 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US20140217467A1 (en) * 2013-02-04 2014-08-07 Globalfoundries Inc. Methods of forming substrates comprised of different semiconductor materials and the resulting device
US20140264362A1 (en) 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus for Forming a CMOS Device
US8871615B2 (en) 2013-03-25 2014-10-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
CN104299971A (en) 2013-07-16 2015-01-21 台湾积体电路制造股份有限公司 MOS devices with non-uniform p-type impurity profile
US20150021688A1 (en) 2013-07-16 2015-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. MOS Devices with Non-Uniform P-type Impurity Profile
US20150021696A1 (en) 2013-07-17 2015-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. MOS Devices Having Epitaxy Regions with Reduced Facets
US20150024601A1 (en) 2013-07-22 2015-01-22 Institute Of Semiconductors, Chinese Academy Of Sciences Method of manufacturing si-based high-mobility group iii-v/ge channel cmos
US20150048417A1 (en) 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium Barrier Embedded in MOS Devices
US20150061024A1 (en) 2013-09-03 2015-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source and Drain Stressors with Recessed Top Surfaces
US9461034B2 (en) 2014-06-23 2016-10-04 Infineon Technologies Americas Corp. Composite group III-V and group IV transistor having a switched substrate
US20160035896A1 (en) 2014-07-29 2016-02-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and electronic device
US20170141227A1 (en) 2015-11-13 2017-05-18 Globalfoundries Inc. Methods of forming pmos finfet devices and multiple nmos finfet devices with different performance characteristics
US9799767B2 (en) 2015-11-13 2017-10-24 Globalfoundries Inc. Methods of forming PMOS and NMOS FinFET devices on CMOS based integrated circuit products
US20170243867A1 (en) 2016-02-24 2017-08-24 International Business Machines Corporation Patterned gate dielectrics for iii-v-based cmos circuits
US20170243789A1 (en) 2016-02-24 2017-08-24 International Business Machines Corporation Distinct gate stacks for iii-v-based cmos circuits comprising a channel cap

Non-Patent Citations (19)

* Cited by examiner, † Cited by third party
Title
"List of IBM Patents or Patent Applications Treated as Related".
K. Cheng et al., "High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained SiGe channel PFET," 2012 International Electron Devices Meeting, San Francisco, CA, Dec. 2012.
L. Czornomaz et al., "First demonstration of InGaAs/SiGe CMOS inverters and dense SRAM arrays on Si using selective epitaxy and standard FEOL processes," 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, Jun. 2016.
M. Yokoyama et al., "CMOS integration of InGaAs nMOSFETs and Ge pMOSFETs with self-align Ni-based metal S/D using direct wafer bonding," 2011 Symposium on VLSI Technology-Digest of Technical Papers, Honolulu, HI, Jun. 2011.
M. Yokoyama et al., "CMOS integration of InGaAs nMOSFETs and Ge pMOSFETs with self-align Ni-based metal S/D using direct wafer bonding," 2011 Symposium on VLSI Technology—Digest of Technical Papers, Honolulu, HI, Jun. 2011.
Non Final Office Action for U.S. Appl. No. 16/012,032 dated Sep. 21, 2018 (36 pages).
Non Final Rejection for U.S. Appl. No. 15/649,182 dated Nov. 16, 2018 (33 pages).
Non Final Rejection for U.S. Appl. No. 15/649,182 dated Sep. 6, 2019 (30 pages).
Notice of Allowance for U.S. Appl. No. 15/051,790 dated Apr. 12, 2018 (10 pages).
Office Action dated Apr. 4, 2019 for U.S. Appl. No. 15/649,122, 34 pages.
Office Action for U.S. Appl. No. 15/649,182 dated Apr. 4, 2018 (26 pages).
Office Action Issued in U.S. Appl. No. 15/051,790 dated Jun. 2, 2017, pp. 1-15.
Office Action issued in U.S. Appl. No. 15/615,245 dated Dec. 15, 2017, 17 pages.
Office Action issued in U.S. Appl. No. 15/649,122 dated Aug. 10, 2017, pp. 1-17.
Office Action issued in U.S. Appl. No. 15/649,182 dated Aug. 24, 2017, pp. 1-44.
Takagi et al., III-V/Ge channel MOS device technologies in nano CMOS era, Japanese Journal of Applied Physics, 54, 06FA01, 2015 , 19 pages.
Takagi et al., III-V/Ge CMOS technologies on Si platform, Symposium on VLSI Technology Digest of Technical Papers, IEEE, 2010, pp. 147-148.
U.S. Office Action issued in U.S. Appl. No. 15/649,122 dated Dec. 20, 2018, 21 pages.
U.S. Office Action issued in U.S. Appl. No. 16/012,032 dated Jan. 7, 2019, 39 pages.

Also Published As

Publication number Publication date
US10672671B2 (en) 2020-06-02
US20170316979A1 (en) 2017-11-02
US20170309519A1 (en) 2017-10-26
US10504799B2 (en) 2019-12-10
US20170243789A1 (en) 2017-08-24

Similar Documents

Publication Publication Date Title
US10367062B2 (en) Co-integration of silicon and silicon-germanium channels for nanosheet devices
US10056254B2 (en) Methods for removal of selected nanowires in stacked gate all around architecture
US10553584B2 (en) Patterned gate dielectrics for III-V-based CMOS circuits
US10672671B2 (en) Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US20180350811A1 (en) Vertical transport transistors with equal gate stack thicknesses
US10355118B2 (en) Single-electron transistor with self-aligned coulomb blockade
US10224329B2 (en) Forming gates with varying length using sidewall image transfer
US11489044B2 (en) Nanosheet transistor bottom isolation
US11049979B2 (en) Long channel nanosheet FET having tri-layer spacers
US10141420B1 (en) Transistors with dielectric-isolated source and drain regions
US20230282728A1 (en) Replacement-channel fabrication of iii-v nanosheet devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ANDO, TAKASHI;FRANK, MARTIN M.;MO, RENEE T.;AND OTHERS;SIGNING DATES FROM 20160218 TO 20160223;REEL/FRAME:037811/0261

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ANDO, TAKASHI;FRANK, MARTIN M.;MO, RENEE T.;AND OTHERS;SIGNING DATES FROM 20160218 TO 20160223;REEL/FRAME:037811/0261

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY