CN103329251A - 使用电容耦合式等离子体的半导体处理系统及方法 - Google Patents

使用电容耦合式等离子体的半导体处理系统及方法 Download PDF

Info

Publication number
CN103329251A
CN103329251A CN2011800659032A CN201180065903A CN103329251A CN 103329251 A CN103329251 A CN 103329251A CN 2011800659032 A CN2011800659032 A CN 2011800659032A CN 201180065903 A CN201180065903 A CN 201180065903A CN 103329251 A CN103329251 A CN 103329251A
Authority
CN
China
Prior art keywords
gas
plasma
spray head
electrode
reaction area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800659032A
Other languages
English (en)
Inventor
J-G·杨
M·L·米勒
X·陈
祝基恩
Q·梁
S·文卡特拉马
D·卢博米尔斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103329251A publication Critical patent/CN103329251A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明所描述的基板处理系统具有定位于处理腔室内部的电容耦合式等离子体(CCP)单元。CCP单元可包括在第一电极与第二电极之间形成的等离子体激发区域。第一电极可包括多个第一开孔以准许第一气体进入等离子体激发区域,且第二电极可包括多个第二开孔以准许活性气体离开等离子体激发区域。系统可进一步包括气体入口及基座,气体入口用于供应第一气体至CCP单元的第一电极,基座可操作以支撑基板。基座定位在气体反应区域下方,活化气体从CCP单元前进进入气体反应区域。

Description

使用电容耦合式等离子体的半导体处理系统及方法
相关申请案的交叉引用
本申请案是2011年10月3日提出申请的标题名称为“SEMICONDUCTOR PROCESSING SYSTEM AND METHODS USINGCAPACITIVELY COUPLED PLASMA(使用电容耦合式等离子体的半导体处理系统及方法)”的美国专利申请No.13/251,663的PCT申请,并且与2011年1月18日提出申请的标题名称为“SEMICONDUCTOR PROCESSINGSYSTEM AND METHODS USING CAPACITIVELY COUPLED PLASMA(使用电容耦合式等离子体的半导体处理系统及方法)”的美国临时专利申请案第61/433,633号相关且主张所述临时专利申请案的权益,所述专利申请案和临时专利申请案二者的全部揭示内容出于所有目的通过引用的方式并入本文。
发明背景
用于制造半导体集成电路的等离子体沉积及蚀刻工艺已广泛使用数十年。这些工艺通常包含从产生等离子体的气体中形成等离子体,产生等离子体的气体暴露于处理腔室内部的功率充足的电场中以使得气体离子化。使这些气体形成为等离子体所需的温度可远低于以热方式离子化相同气体所需的温度。因此,等离子体产生工艺可用来在显著低于可能藉由简单加热气体进行的腔室处理温度下从启动气体产生反应性自由基及离子物种。这允许等离子体从基板表面沉积和/或蚀刻材料而无需将基板温度上升至阈值之上,将基板温度上升至阈值之上将熔融、分解或者以其他方式损坏基板上的材料。
示例性等离子体沉积工艺包括在基板晶圆的暴露表面上的诸如氧化硅之类的介电性材料的等离子体辅助化学气相沉积(PECVD)。常规PECVD包含混合处理腔室内的气体和/或沉积前驱物,及从气体触发等离子体以产生在基板上反应且沉积材料的反应物种。等离子体通常接近于基板的暴露表面定位以促进反应产物的有效沉积。
类似地,等离子体蚀刻工艺包括将基板的经选择部分暴露至等离子体活化的蚀刻物种,活化的蚀刻物种在化学上发生反应和/或在物理上溅射基板的材料。等离子体蚀刻的材料的移除速率、选择性及方向可利用对蚀刻剂气体、等离子体激发能及在基板与带电等离子体物种之间的电偏置以及其他参数的调整来进行控制。一些等离子体技术,诸如高密度等离子体化学气相沉积(HDP–CVD)依靠同步的等离子体蚀刻及沉积以产生基板上的特征结构。
尽管通常等离子体环境对基板的破坏少于高温沉积环境,但是等离子体环境仍产生制造挑战。由于高能等离子体过蚀刻浅沟及间隙,所以蚀刻精度可能成为问题。等离子体中的高能物种,尤其离子化物种可在经沉积材料中产生不希望有的反应,不希望有的反应不利地影响材料的性能。因此,需要在制造期间对接触基板晶圆的等离子体部件提供更精确控制的系统及方法。
发明内容
描述了用于在等离子体与暴露于等离子体和/或等离子体的流出物的基板晶圆表面之间的环境的改良控制的系统及方法。改良控制可藉由定位在等离子体与基板之间的离子抑制元件至少部分地实现,离子抑制元件减少或消除到达基板的离子带电物种的数目。在基板上的等离子体辅助蚀刻和/或沉积期间,调整到达基板表面的离子物种的浓度允许对蚀刻速度、蚀刻选择性及沉积化学性质(以及其他参数)的更精确控制。
在一些实例中,离子抑制元件可为基板处理腔室的气体/前驱物输送设备的部分。举例而言,定位在等离子体区域与基板的间的腔室内部的喷淋头可既作为气体及前驱物的分配部件又作为离子抑制器,离子抑制器减少从等离子体区域穿过喷淋头前进至基板的离子化物种的数量。在额外实例中,离子抑制元件可为在等离子体区域与基板之间的具有一个或更多开孔的隔板,等离子体流出物可经由所述一个或更多开孔从等离子体区域传递至基板。开孔的尺寸、位置及几何形状、隔板与基板之间的距离以及隔板上的电偏置以及其他特性可经选择以控制到达基板的带电物种的数量。在一些情况下,隔板亦可作为帮助产生且界定处理腔室中的等离子体区域的电极。
本发明的实施例包括具有定位于处理腔室内部的电容耦合式等离子体(CCP)单元的基板处理系统。CCP单元可包括在第一电极与第二电极之间形成的等离子体激发区域。第一电极可包括多个第一开孔以准许第一气体进入等离子体激发区域,且第二电极可包括多个第二开孔以准许活化气体离开等离子体激发区域。系统可进一步包括气体入口及基座,气体入口用于供应第一气体至CCP单元的第一电极,基座可操作以支撑基板。基座定位在气体反应区域下方,活化气体从CCP单元前进进入气体反应区域。
本发明的实施例进一步包括额外基板处理系统。这些系统可包括用于供应第一气体至处理腔室的气体入口、包含多个开孔的电极及喷淋头。喷淋头可包括多个第一沟槽及多个第二沟槽,多个第一沟槽准许处理腔室内活化气体至气体反应区域的流通,多个第二沟槽准许第二气体至气体反应区域的流通。活化气体在电极与喷淋头之间的等离子体激发区域内形成,活化气体亦作为第二电极。系统可进一步包括定位在气体反应区域下方的基座,基座可操作以支撑基板。
本发明的实施例更进一步包括具有离子抑制器的基板处理系统。这些系统可包括用于供应第一气体至处理腔室的气体入口、具有多个第一开孔的电极及离子抑制器。离子抑制器可包括具有多个第二开孔的电性导电平板,多个第二开孔准许处理腔室内活化气体至气体反应区域的流通。活化气体在电极与离子抑制器之间的等离子体激发区域内形成。这些系统可进一步包括定位在气体反应区域下方的基座,基座为可操作的以支撑基板。
在随后描述中将部分地阐述额外实施例及特征结构,且对于本领域普通技术人员而言,额外实施例及特征结构在审阅说明书之后将部分地变得显而易见或可由本发明的实践来了解。本发明的特征结构及优点可利用说明书中所述的工具、组合及方法来实现且完成。
附图简述
可藉由参阅说明书的剩余部分及附图来实现对本发明的性质及优点的进一步理解,其中贯穿若干附图所使用的相同元件符号代表相同部件。在一些情况下,子标号与元件符号相关联且跟随有连字符以表示多个相同部件中的一个。当引用元件符号而未指明存在子标号时,引用的元件符号意欲指全部此类多个相同部件。
图1图示根据本发明的实施例的包括具有CCP单元及喷淋头的处理腔室的处理系统的简化横截面图;
图2图示根据本发明的实施例的包括具有CCP单元及喷淋头的处理腔室的处理系统的简化透视图;
图3图示根据本发明的实施例的一对气体混合物穿过处理系统的气流路径的简化示意图;
图4图示包括具有喷淋头的处理腔室的处理系统的简化横截面图,喷淋头亦作为离子抑制元件;
图5图示根据本发明的实施例的包括具有离子抑制平板的处理腔室的处理系统的简化横截面图,离子抑制平板从气体反应区域分隔等离子体区域;
图6A图示根据本发明的实施例的离子抑制元件的简化透视图;
图6B图示根据本发明的实施例的亦作为离子抑制元件的喷淋头的简化透视图;
图7A图示根据本发明的实施例的用于离子抑制元件中的开孔的一些示例性孔几何形状;
图7B图示根据本发明的实施例的孔几何形状开孔的示意图;以及
图8图示根据本发明的实施例的帮助界定处理腔室中的等离子体区域的一对电极中的相对开孔的示例性配置。
具体实施方式
描述了用于产生及控制半导体处理腔室内部的等离子体的系统和方法。等离子体可起源于处理腔室内部、远端等离子体单元中的处理腔室外部,或两者。腔室内部含有等离子体,且等离子体利用离子抑制元件与基板晶圆分离,离子抑制元件定位在等离子体与基板晶圆之间。在一些情况下,此离子抑制元件亦可作为等离子体产生单元的部分(例如电极)、气体/前驱物分配系统的部分(例如喷淋头)和/或处理器系统的另一部件的部分。在额外情况下,离子抑制元件可主要用于界定在等离子体产生区域与气体反应区域之间的隔板,气体反应区域在基板晶圆的暴露表面上蚀刻和/或沉积材料。
离子抑制元件用以减少或消除自等离子体产生区域前进至基板的离子带电物种的数量。不带电中性粒子及自由基物种仍可穿过离子抑制器中的开孔以与基板反应。应注意,在环绕基板的反应区域中完全消除离子带电物种并非始终为期望目标。在多数情况下,要求离子物种到达基板以执行蚀刻和/或沉积工艺。在这些情况下,离子抑制器帮助将反应区域中离子物种的浓度控制在辅助工艺的等级。
示例性处理系统配置
示例性处理系统配置包括离子抑制器,离子抑制器定位于处理腔室内部以控制到达基板的等离子体激发物种的类型及数量。在一些实施例中,离子抑制器单元可为穿孔的平板,穿孔的平板亦可作为等离子体产生单元的电极。在额外实施例中,离子抑制器可为喷淋头,喷淋头分配气体及激发物种至与基板接触的反应区域。在更多实施例中,离子抑制可由穿孔平板离子抑制器及喷淋头实现,等离子体激发物种穿过穿孔平板离子抑制器及喷淋头两者以到达反应区域。
图1及图2分别图示处理系统的简化横截面图及简化透视图,处理系统包括作为电容耦合式等离子体(CCP)单元102的部分的离子抑制器110及亦可有助于离子抑制的喷淋头104两者。处理系统亦可选择性地包括位于处理腔室100外部的部件,诸如流体供应系统114。处理腔室100可保持不同于环绕压力的内部压力。举例而言,处理腔室内部的压力可为约10毫托至约20托。
CCP单元102可用以在处理腔室100内部产生等离子体。CCP单元102的部件可包括盖或热电极106及离子抑制元件110(本文亦称为离子抑制器)。在一些实施例中,盖106及离子抑制器110为导电电极,导电电极可相对于彼此经电偏置以产生足够强的电场来将电极之间的气体离子化为等离子体。电绝缘体108可分离盖106电极及离子抑制器110电极以防止盖106电极及离子抑制器110电极在产生等离子体时短路。盖106、绝缘体108及离子抑制器110的暴露于等离子体的表面可在CCP单元102中界定等离子体激发区域112。
产生等离子体的气体可从气体供应系统114穿过气体入口116前进进入等离子体激发区域112。产生等离子体的气体可用来在激发区域112中触发等离子体,或可保持已形成的等离子体。在一些实施例中,产生等离子体的气体在穿过入口116向下游前进至CCP单元102之前可能已至少部分地转化成为远端等离子体系统(未图示)中的等离子体激发物种,远端等离子体系统定位于处理腔室100外部。当等离子体激发物种到达等离子体激发区域112时,等离子体激发物种可在CCP单元102中经进一步激发或穿过等离子体激发区域而不进行进一步激发。在一些操作中,由CCP单元102提供的增加激发的程度可取决于基板处理顺序和/或条件而随时间改变。
产生等离子体的气体和/或等离子体激发物种可穿过盖106中的多个孔(未图示)以更均匀地输送进入等离子体激发区域112。示例性配置包括使入口116通向气体供应区域120以便气体/物种流经盖106中的孔进入等离子体激发区域112,其中气体供应区域120藉由盖106与等离子体激发区域112分隔。结构化且可操作的特征结构可经选择以防止等离子体从等离子体激发区域112返回至供应区域120、入口116及流体供应系统114内的显著回流。如下文图7A及图7B中所述,结构化特征结构可包括盖106中的孔的尺寸及横截面几何形状的选择,盖106阻止回流等离子体。可操作的特征结构可包括保持气体供应区域120与等离子体激发区域112之间的压力差,所述压力差保持等离子体经由离子抑制器110的单向流动。
如上所述,盖106及离子抑制器110可分别用作第一电极及第二电极,以便盖106和/或离子抑制器110可接收电荷。在这些配置中,可将电功率(例如,射频(radio frequency;RF)功率)施加至盖106、离子抑制器110,或两者。举例而言,可将电功率施加至盖106,同时将离子抑制器110接地。基板处理系统可包括为盖106和/或离子抑制器110提供电功率的RF产生器140。带电的盖106可促进等离子体在等离子体激发区域112内的均匀分配(亦即,减少局域化等离子体)。为了使得能够在等离子体激发区域112中形成等离子体,绝缘体108可使盖106与离子抑制器110电绝缘。绝缘体108可由陶瓷制得且可具有高击穿电压以避免火花放电。CCP单元102可进一步包括冷却单元(未图示),冷却单元包括一个或更多冷却流体沟槽以利用循环冷却剂(例如水)来冷却暴露于等离子体的表面。
离子抑制器110可包括多个孔122,多个孔122抑制离子带电物种向等离子体激发区域112之外的迁移,同时允许不带电的中性粒子或自由基物种穿过离子抑制器110进入活化气体输送区域124。这些不带电物种可包括高度反应物种,高度反应物种利用较少的反应载气穿过孔122进行传送。如上所述,离子物种穿过孔122的迁移可被减少且在一些情况下可完全被抑制。控制穿过离子抑制器110的离子物种的数量提供对与下层晶圆基板接触的气体混合物的增加控制,增加控制进而增加对气体混合物的沉积和/或蚀刻特性的控制。举例而言,调整气体混合物的离子浓度可显著地改变气体混合物的蚀刻选择率(例如SiOx:SiNx蚀刻比、多晶Si:SiOx蚀刻比等)。调整气体混合物的离子浓度亦可移动沉积的介电性材料的共形至流动的平衡。
多个孔122可经配置以控制穿过离子抑制器110的活化气体(亦即,离子、自由基和/或中性粒子物种)的流通。举例而言,孔的深宽比(亦即,孔直径比长度)和/或孔的几何形状可经控制以便减少穿过离子抑制器110的活化气体中的离子带电物种的流动。离子抑制器110中的孔可包括面向等离子体激发区域112的锥形部分及面向喷淋头104的圆柱形部分。圆柱形部分可经定形且标注尺寸以控制传递至喷淋头104的离子物种的流动。亦可将可调整电偏置施加至离子抑制器110作为控制穿过抑制器的离子物种的流动的额外手段。
喷淋头104定位在CCP单元102的离子抑制器110与气体反应区域130(亦即,气体活化区域)之间,气体反应区域130与可安装在基座150上的基板接触。气体及等离子体激发物种可穿过离子抑制器110进入活化气体输送区域124,活化气体输送区域124被界定在离子抑制器110与喷淋头104之间。这些气体及物种中的部分可进一步穿过喷淋头104进入与基板接触的气体反应区域130。
喷淋头可为双区域喷淋头,双区域喷淋头具有准许等离子体激发物种的流通的第一组沟槽126及输送第二气体/前驱物混合物进入气体反应/活化区域130的第二组沟槽。两组沟槽防止等离子体激发物种及第二气体/前驱物混合物发生组合,直到等离子体激发物种及第二气体/前驱物混合物到达气体反应区域130。在一些实施例中,离子抑制器110中的一个或更多孔122可与喷淋头104中的一个或更多沟槽126对准以允许至少一些等离子体激发物种穿过孔122及沟槽126而不改变等离子体激发物种的飞行方向。在额外实施例中,第二组沟槽可在面向气体反应区域130的开孔处具有环形形状,且这些环形开孔可在第一组沟槽126的圆形开孔周围进行同中心地对准。
喷淋头104中的第二组沟槽可流体耦合至经选择用于待执行的工艺的源气体/前驱物混合物(未图示)。举例而言,当处理系统经配置以执行诸如二氧化硅(SiOx)的介电性材料的沉积时,气体/前驱物混合物可包括含有硅的气体或前驱物,诸如硅烷、二硅烷、TSA、DSA、四乙氧基硅烷(TEOS)、OMCTS、TMDSO以及其他含有硅的材料。此混合物可在气体反应区域130中与氧化气体混合物反应,氧化气体混合物可包括等离子体激发物种,诸如产生等离子体的氧自由基(O)、活化分子氧(O2)及臭氧(O3)以及其他物种。当物种移动穿过离子抑制器110中的孔122时,等离子体激发物种中的过度离子可得以减少,且当物种穿过喷淋头104中的沟槽126时过度离子得以进一步减少。在另一实例中,当处理系统经配置以执行基板表面上的蚀刻时,源气体/前驱物混合物可包括诸如氧化剂、卤素、水蒸汽和/或载气之类的蚀刻剂,蚀刻剂在气体反应区域130中与从喷淋头104的第一组沟槽中分配的等离子体激发物种混合。
处理系统可进一步包括功率供应器140,功率供应器140电性耦合至CCP单元102以向盖106和/或离子抑制器110提供电功率,以在等离子体激发区域112中产生等离子体。电源供应器可被配置成取决于所执行的工艺将可调整量的功率输送至CCP单元102。例如在沉积工艺中,输送至CCP单元102的功率可经调整以设定沉积层的共形性。沉积的介电性薄膜通常在较低等离子体功率下为更可流动的,且当等离子体功率增加时沉积的介电性薄膜从可流动的转为共形的。举例而言,当等离子体功率从约1000瓦降低至约100瓦或更低(例如约900瓦、800瓦、700瓦、600瓦或500瓦或更低)时,在等离子体激发区域112中保持的含氩等离子体可产生更可流动的氧化硅层,且当等离子体功率从约1000瓦或更多(例如约1000瓦、1100瓦、1200瓦、1300瓦、1400瓦、1500瓦、1600瓦、1700瓦或更多)增加时,在等离子体激发区域112中保持的含氩等离子体可产生更共形的层。当等离子体功率自低至高地增加时,从流动沉积薄膜至共形沉积薄膜的过渡可为相对平滑及连续的,或经由相对离散的阈值进行。(单独或除其他沉积参数的外的)等离子体功率可经调整以选择沉积薄膜的共形性质与流动性质的间的平衡。
处理系统可更进一步包括基座150,基座150可操作以支撑并移动基板(例如晶圆基板)。基座150与喷淋头104之间的距离帮助界定气体反应区域130。基座在处理腔室100内可为垂直可调整或轴向可调整的,以通过相对于穿过喷淋头104的气体重新定位晶圆基板来增大或减小气体反应区域130并影响晶圆基板的沉积或蚀刻。基座150可具有热交换沟槽,热交换流体流经热交换沟槽以控制晶圆基板的温度。热交换流体的循环使得基板温度保持在相对低的温度(例如约-20℃至约90℃)下。示例性热交换流体包括乙二醇及水。
基座150亦可配置有加热元件(诸如电阻性加热元件)以将基板保持在加热温度(例如约90℃至约1100℃)下。示例性加热元件可包括嵌入基板支撑盘的单回路加热器元件,单回路加热器元件形成具有平行同心圆形式的两个或更多整圈(full turn)。加热器元件的外部可邻近支撑平台的周边设置,同时加热器元件的内部可在具有较小半径的同心圆的路径上设置。加热器元件的布线可穿过基座的杆(stem)。
图3图示一对气体混合物穿过处理系统的气流路径的简化示意图300,处理系统包括离子抑制器平板及喷淋头两者。在框305中,诸如产生等离子体的气体混合物之类的第一气体经由气体入口供应至处理腔室。第一气体可包括下列气体的一种或更多者:CF4、NH3、NF3、Ar、He、H2O、H2、O2等。在处理腔室内部,第一气体可经由等离子体放电进行激发以在框310中形成一种或更多等离子体流出物。或者(或除原位等离子体产生之外)可使用耦合至处理腔室的远端等离子体系统(RPS)产生非原位等离子体,非原位等离子体的等离子体激发产物被引入至处理腔室中。RPS等离子体激发产物可包括离子带电等离子体物种以及中性粒子及自由基基物种。
不论等离子体流出物是由原位等离子体单元产生、由RPS单元产生,还是由两者产生,等离子体流出物皆可在框315中穿过处理腔室中的离子抑制器。当等离子体活化的第一气体前进至处理腔室中的气体反应区域时,离子抑制器可阻断和/或控制离子物种的流通,同时允许自由基和/或中性粒子物种的流通。在框320中,可将第二气体引入至处理腔室中。如上所述,第二气体的内含物取决于所执行的工艺。举例而言,第二气体可包括用于沉积工艺的沉积化合物(例如含硅化合物)及用于蚀刻工艺的蚀刻剂。第一气体与第二气体之间的接触及反应可被防止,直到气体到达处理腔室的气体反应区域。
在气体反应区域之前防止第一气体及第二气体相互作用的一个方式为使第一气体及第二气体流经双区域喷淋头中的不同的沟槽。框330图示活化的第一气体及第二气体穿过具有多个第一沟槽的双区域喷淋头(DZSH),多个第一沟槽准许活化的第一气体穿过喷淋头而不与穿过多个第二沟槽的第二气体相互作用。在离开DZSH之后,在框335中,第一气体及第二气体可在处理腔室的气体反应区域中混合。取决于所执行的工艺,组合气体可反应以在基板暴露表面上沉积材料、从基板中蚀刻材料或两者皆有。
现参阅图4,图4图示具有喷淋头402的处理系统400的简化横截面图,喷淋头402亦作为离子抑制元件。在图示的配置中,用于等离子体产生的第一气源402流体耦合至任选的RPS单元404,在RPS单元404中可产生第一等离子体且等离子体流出物经由气体入口408传送进入处理腔室406。在处理腔室406内部,气体可穿过气体分配板412中的孔410进入界定在平板412与喷淋头402之间的气体区域414。在一些实施例中,此区域414可为等离子体激发/活化区域,在等离子体激发/活化区域中气体分配板412及喷淋头402作为第一电极及第二电极,以进一步激发气体和/或产生第一等离子体。气体分配板412中的孔410可在尺寸上或在几何形状上经构造以阻止回流等离子体。平板412及喷淋头402可与RF功率产生器422进行耦合,RF功率产生器422向平板412及喷淋头402供应电荷以激发气体和/或产生等离子体。在一个实施例中,喷淋头402接地,同时电荷被施加至平板412。
气体区域414中的激发气体或活化气体可穿过喷淋头402进入邻近基板418的气体反应区域416,以从基板表面蚀刻材料和/或在基板表面上沉积材料。喷淋头402可为双区域喷淋头(DZSH),双区域喷淋头允许激发气体从气体区域414进入气体反应区域416,同时亦允许第二气体(亦即,前驱物气体/混合物)经由第二气体入口(未图示)从外部源(未图示)流入气体反应区域416。DZSH可防止活化/激发气体与第二气体混合,直到气体流入气体反应区域416。
激发气体可流经DZSH中的多个孔424,多个孔424可在尺寸上和/或几何形状上经构造以控制或防止等离子体(亦即,离子带电物种)的流通,同时允许活化/激发气体(亦即,反应性自由基或不带电中性粒子物种)的流通。图7A提供可在DZSH中使用的孔配置的示例性实施例。除孔424之外,DZSH亦可包括多个沟槽426,第二气体流经多个沟槽426。第二气体(前驱物气体)可经由一个或更多个穿孔(未图示)离开喷淋头402,一个或更多个穿孔邻近于孔424定位。DZSH可作为第二气体输送系统及离子抑制元件两者。
如上所述,混合气体可在基板418的表面沉积材料和/或蚀刻基板418的表面,基板418可定位在平台420上。平台420可在处理腔室406内垂直地移动。在处理腔室406内基板418的处理可受孔424的配置、在气体区域414内的压力和/或在处理腔室内基板418的位置影响。另外,孔424的配置和/或在气体区域414内的压力可控制被允许进入气体激发区域416的离子物种(等离子体)的量。气体混合物的离子浓度除改变蚀刻选择性之外,亦可移动所沉积的介电性材料的共形至流动的平衡。
现在参阅图5,图5图示具有作为离子抑制元件的平板512(亦即,离子抑制器平板)的另一处理系统500的简化横截面图。在图示的配置中,将第一气源502流体耦合至RPS单元504,在RPS单元504中可产生第一等离子体且等离子体流出物经由气体入口508传送进入处理腔室506。可将等离子体流出物传送至界定在离子抑制器平板512与气体入口508之间的气体区域514。在气体区域514内部,气体可穿过离子抑制器512中的孔510进入界定在离子抑制器512与基板528之间的气体反应/活化区域516。基板518可支撑在如上所述的平台520上以便基板在处理腔室506内可移动。
亦如上所述,孔510可在尺寸上和/或几何形状上经构造以便防止和/或控制离子带电物种(亦即,等离子体)的流通,同时准许不带电中性粒子或自由基物种(亦即,活化气体)的流通。离子物种的流通可藉由改变在气体区域514内的等离子体的压力而可控制。气体区域514中的压力可藉由控制经由气体入口508传送的气体量来控制。可将前驱物气体(亦即,第二气体)在一个或更多第二气体入口522处引入至处理腔室506,一个或更多第二气体入口522垂直地定位于离子抑制器512下方或与离子抑制器512平行。第二气体入口522可包括处理腔室506壁中的一个或更多穿孔、管道等(未图示),且可进一步包括一个或更多气体分配沟槽(未图示)以输送前驱物气体至穿孔、管道等。在一个实施例中,离子抑制器512包括一个或更多第二气体入口,前驱物气体流经所述一个或更多第二气体入口。离子抑制器512的第二气体入口可将前驱物气体输送到气体反应区域516中。在此实施例中,离子抑制器512作为如前所述的离子抑制器及双区域喷淋头两者。穿过孔510的活化气体及在处理腔室506中引入的前驱物气体可在气体反应室516中进行混合以用于蚀刻和/或沉积工艺。
现已描述了处理腔室的示例性实施例,现将注意力导引至诸如离子抑制器平板412及离子抑制器平板512及喷淋头402之类的离子抑制器的示例性实施例。
示例性离子抑制器
图6A图示根据本发明的实施例的离子抑制元件600(离子抑制器)的简化透视图。离子抑制元件600可对应图4和/或图5的离子抑制器平板。透视图图示离子抑制元件或平板600的顶部。离子抑制平板600通常可为圆形且可包括多个等离子体流出物通道602,其中通道602的每一个包括一个或更多通孔,一或更多通孔允许等离子体流出物从第一区域(例如等离子体区域)至第二区域(例如气体反应区域或喷淋头)的流通。在一个实施例中,虽然可能有其他配置,但是通道602的通孔可经布置以形成一个或更多圆形图案。如先前所述,通孔可在几何形状上或在尺寸上经配置以控制或防止离子物种的流通,同时允许不带电中性粒子或自由基物种的流通。通孔可具有朝向离子抑制平板600的顶表面的较大内径及朝向离子抑制平板的底表面的较小内径。另外,通孔通常可为圆柱形、圆锥形,或圆柱形及圆锥形的任何组合。图7A至图7B提供通孔的配置的示例性实施例。
多个通道可基本上均匀地分配在离子抑制平板600的表面上,多个通道可提供穿过离子抑制平板600进入第二区域的中性粒子或自由基物种的均匀流通。在一些实施例中,诸如图5的实施例,处理腔室可仅包括离子抑制平板600,而在其他实施例中,处理腔室可包括离子抑制平板600及喷淋头两者,诸如图6B的喷淋头,或处理腔室可包括既作为双区域喷淋头又作为离子抑制平板的单个平板。
图6B图示根据本发明的实施例的喷淋头620的简化的底部透视图。喷淋头620可对应于图4中所图示的喷淋头。如先前所述,喷淋头620可垂直地定位为邻近气体反应区域且在气体反应区域之上。类似于离子抑制平板600,喷淋头620通常可为圆形且可包括多个第一孔622及多个第二孔624。多个第一孔622可允许等离子体流出物穿过喷淋头620进入气体反应区域,同时多个第二孔624允许诸如硅前驱物、蚀刻剂等前驱物气体进入气体反应区域。
多个第一孔622可为从喷淋头620的顶表面穿过喷淋头延伸的通孔。在一个实施例中,多个第一孔622中的每一者可具有朝向喷淋头620的顶表面的较小内径(ID)及朝向底表面的较大ID。此外,多个第一孔622的底部边缘可为斜切626以帮助在等离子体流出物离开喷淋头时均匀地分配气体反应区域中的等离子体流出物,且因此促进等离子体流出物及前驱物气体的均匀混合。第一孔622的较小ID可为在约0.5mm与约20mm之间。在一个实施例中,较小ID可在约1mm与6mm之间。第一孔622的横断面形状通常可为圆柱形、圆锥形,或圆柱形及圆锥形的任何组合。另外,当离子抑制元件600及喷淋头620两者皆在处理腔室中使用时,第一孔622可与通道602的通孔同心地对准。同心对准可经由处理腔室中的离子抑制元件600及喷淋头620两者促进活化气体的流通。
在另一实施例中,多个第一孔622可为从喷淋头620的顶表面延伸穿过喷淋头的通孔,其中第一孔622中的每一者具有朝向喷淋头的顶表面的较大的ID及朝向喷淋头的底表面的较小ID。另外,第一孔622可包括在较大ID与较小ID之间过渡的锥形区域。此配置可防止或调节穿过通孔的等离子体的流通,同时准许活化气体的流通。这些实施例可在适当位置或除离子抑制元件600之外使用。图7A提供这些通孔的示例性实施例。
多个第一孔622的数目可为在约60与约2000之间。多个第一孔622亦可具有各种形状,但是多个第一孔622通常为圆形。在处理腔室包括离子抑制平板600及喷淋头620两者的实施例中,多个第一孔622可与通道602基本对准以促进穿过离子抑制平板及喷淋头的等离子体流出物的流通。
多个第二孔624可从喷淋头620的底表面部分地延伸穿过喷淋头。多个第二孔可与多个沟槽耦合或连接至多个沟槽(未图示),多个沟槽(未图示)从外部气源(未图示)输送前驱物气体(例如沉积化合物、蚀刻剂等)至第二孔624。第二孔可包括在喷淋头620的底表面上的较小ID及在喷淋头的内容积中的较大ID。第二孔624的数目在不同实施例中可为在约100与约5000之间或在约500与约2000之间。第二孔的较小ID的直径(亦即,在底表面上孔的直径)可为在约0.1mm与约2mm之间。第二孔624通常为圆形且同样可为圆柱形、圆锥形、或圆柱形及圆锥形的任何组合。第一孔及第二孔两者可在喷淋头620的底表面上均匀地分布以促进等离子体流出物及前驱物气体的均匀混合。
参阅图7A,图7A图示通孔的配置的示例性实施例。所述的通孔通常包括朝向孔的上端的较大内径(ID)区域及朝向孔的底部或下端的较小ID区域。较小ID可为在约0.2mm与约5mm的间。另外,孔的深宽比(亦即,较小ID与孔长度之比)可为大约1比20。这些配置可基本上阻断和/或控制等离子体流出物的离子物种的流通,同时允许自由基或中性粒子物种的流通。举例而言,改变深宽比可调节允许穿过通孔的等离子体的量。可藉由改变在通孔正上方的区域内等离子体的压力来进一步调节等离子体的流通。
现参阅特定配置,通孔702可包括在孔的上端的较大ID区域704及在孔的下端的较小ID区域706,以及在较大ID与较小ID之间的阶梯形边缘。通孔710可包括在孔的上端的较大ID区域712及在孔的下端的较大ID区域716,以及在孔的上端的较大ID区域712与在孔的下端的较大ID区域716之间的较小ID区域714。在较大ID区域与较小ID区域之间的过渡可为阶梯形或钝的以提供在区域之间的突然转换。
通孔720可包括在孔的上端的较大ID区域722及在孔的下端的较小ID区域726以及锥形区域724,锥形区域724在较大区域与较小区域之间以角度θ过渡。较小ID区域726的高度728可取决于孔的总高度727、锥形区域724的角度θ、较大ID及较小ID。在一个实施例中,锥形区域724包含在约15°与约30°之间且较佳地约22°的角度;总高度727为在约4mm与约8mm之间且较佳为约6.35mm,较大ID为在约1mm与约4mm之间且较佳为约2.54mm,较小ID为约0.2mm与1.2mm之间且较佳为约0.89mm,以便较小ID区域726区域的高度728为在约1mm与约3mm之间,且较佳为约2.1mm。
通孔730可包括在孔的上端的第一ID区域732、与第一ID区域732同心地对准且垂直地定位于第一ID区域732下方的第二ID区域734、以及与第二ID区域734同心地对准且垂直地定位于第二ID区域734下方的第三ID区域736。第一ID区域732可包含较大ID,第二ID区域734可包含较小ID,且第三ID区域736可包含比第二ID区域734稍大的ID。第三ID区域736可延伸至孔的下端或可向外成锥形至出口ID737。在第三ID区域736与出口ID737之间的锥形可以角度θ3成锥形,角度θ3可为在约15°与约30°之间且较佳为约22°。第二ID区域734可包括从第一ID区域732以角度θ1过渡的斜切边缘,角度θ1可为在约110°与约140°之间。类似的,第二ID区域734可包括以角度θ2向第三ID区域736中过渡的斜切边缘,角度θ2亦可为在约110°与约140°之间。在一个实施例中,第一区域732的较大ID可为在约2.5mm与约7mm之间且较佳为约3.8mm,第二ID区域734的较小ID可为在约0.2mm与约5mm之间且较佳为约0.04mm,第三ID区域736的稍大ID可为在约0.75mm与约2mm之间且较佳为约1.1mm,且出口ID可为在约2.5mm与约5mm之间且较佳为约3.8mm。
在较大ID区域与较小ID区域之间的过渡(钝的、阶梯形的、锥形的等)基本上可阻止离子物种流通穿过孔,同时允许自由基或中性粒子物种的流通。举例而言,现参阅图7B,图7B图示通孔720的放大附图,通孔720包括在较大ID区域722与较小ID区域726之间的过渡区域724。锥形区域724可基本上防止等离子体725穿透通孔702。举例而言,当等离子体725穿透进入通孔720时,离子物种可藉由接触锥形区域724的壁来失活或接地,从而限制穿过通孔的等离子体流通并使等离子体包含在通孔720上方的区域内。然而,自由基或中性粒子物种可穿过通孔720。因此,通孔720可过滤等离子体720以防止或控制不希望有的物种的流通。在示例性实施例中,通孔的较小ID区域726包含1mm或更小的ID。为保持穿透通孔的自由基和/或中性粒子物种的显著浓度,可控制较小ID区域的长度和/或锥形角度。
除防止等离子体流通之外,本文所述的通孔亦可用来调节等离子体流通以便允许期望等级的等离子体穿过通孔。调节穿过通孔的等离子体流动可包括增加在离子抑制器平板上方的气体区域中的等离子体压力,以便期望比例的等离子体能够穿过离子抑制器而不失活或接地。
现在参阅图8,图8图示电容耦合式等离子体(CCP)单元800的简化附图。具体而言,所图示的CCP单元800包括界定等离子体产生区域810的顶部平板802及底部平板804,在等离子体产生区域810中含有等离子体。如先前所述,可藉由RPS(未图示)产生等离子体且经由通孔806将等离子体输送至等离子体产生区域810。替代地或另外,例如,当第一电极及第二电极耦合至功率产生单元(未图示)时,藉由利用顶部平板802及底部平板804可在CCP单元800中产生等离子体。
顶部平板802可包括通孔806,通孔806允许将工艺气体和/或等离子体输送入等离子体产生区域810中,同时防止等离子体穿过顶部平板802回流。通孔806可类似于通孔730配置,通孔806具有第一ID区域、第二ID区域及第三ID区域(分别为820、822及824),并具有在邻接区域(828及829)之间的斜切边缘及在第三ID区域824与出口ID之间过渡的锥形区域826。当等离子体穿透入通孔806时,在第三ID区域824与出口ID之间的锥形区域826和/或在第二ID区域与第三ID区域(分别为822及824)之间的斜切边缘可藉由使离子物种失活或接地来防止等离子体回流。
类似地,底部平板804可包括通孔808,通孔808允许自由基或中性粒子物种穿过通孔,同时防止或控制离子物种的流通。通孔808可类似于通孔720配置,通孔808具有较大ID区域830、较小ID区域832及在较大ID区域830与较小ID区域832之间过渡的锥形区域834。锥形区域834可如先前所说明地藉由使离子物种失活或接地来防止穿过通孔808的等离子体流动,同时允许自由基或中性粒子物种穿过通孔808。
为进一步防止穿过通孔的等离子体流通,802和/或804,顶部平板802和/或底部平板804可接收电荷来电性偏置等离子体,并使等离子体包含在等离子体产生区域810内和/或调整穿过底部平板的活化气体中的离子浓度。使用CCP单元800中的顶部平板802及底部平板804,可基本上在等离子体产生区域810中产生和/或保持等离子体,同时将自由基及中性粒子物种输送至气体反应区域以与一种或更多前驱物气体进行混合,从而蚀刻基板表面上的材料或在基板表面上沉积材料。
藉由已描述的若干实施例,本领域普通技术人员将认识到,在不脱离本发明的精神的情况下,可使用各种修改、替代性结构及等效形式。另外,未描述许多熟知工艺及元件,以避免不必要地模糊本发明。因此,上述描述将不视为限制本发明的范围。
在提供数值范围的情况下,应理解,也特定地揭示在数值范围的上限与下限之间的每一插入值,除非上下文另外清楚地规定,每一插入值达下限的单位的十分之一。本发明涵盖在说明范围内的任何说明值或插入值与在本说明范围内的任何其他说明值或插入值之间的每一较小范围。这些较小范围的上限及下限可独立地包括在范围内或排除在范围外,且受制于说明范围内任何特定的排他性上下限,本发明亦涵盖上限及下限中的任一者包含在较小范围内、上限及下限皆不包含在较小范围内、或者上限及下限皆包含在较小范围内的每一范围。在说明范围包括上下限中的一者或两者的情况下,亦包括排除所包括的那些上下限中的一者或两者的范围。
如本文及所附权利要求书中所使用,除非上下文另外清楚地规定,否则单数形式“一”及“所述”包括多个对象。因此,例如“工艺”的引用包括多个这些工艺,且“所述电极开孔”的引用包括对一个或更多电极开孔的引用及本领域普通技术人员所已知的等效形式等等。
此外,在此说明书及权利要求书中使用的用语“包含”及“包括”时,单词“包含”及“包括”旨在指定所述特征结构、整体、部件或步骤的存在,但是单词“包含”及“包括”不排除一个或更多其他特征结构、整体、部件、步骤、动作或群组的存在或添加。

Claims (14)

1.一种基板处理系统,所述基板处理系统包括:
电容耦合式等离子体(CCP)单元,所述电容耦合式等离子体(CCP)单元定位于处理腔室内部,其中所述CCP单元包括在第一电极与一第二电极之间形成的等离子体激发区域,且其中所述第一电极包括多个第一开孔以准许第一气体进入所述等离子体激发区域,且所述第二电极包括多个第二开孔以准许活化气体离开所述等离子体激发区域;
气体入口,所述气体入口用于供应所述第一气体至所述CCP单元的所述第一电极;以及
基座,所述基座可操作以支撑基板,其中所述基座定位于一气体反应区域下方,所述活化气体从所述CCP单元中前进进入所述气体反应区域。
2.如权利要求1所述的系统,其特征在于,所述系统进一步包括喷淋头,所述喷淋头定位在所述CCP单元的所述第二电极与在所述基座上方的所述气体反应区域之间,其中所述喷淋头包括准许所述活化气体至所述气体反应区域的流通的多个第一喷淋头沟槽,以及准许第二气体至所述气体反应区域的流通的多个第二沟槽。
3.如权利要求2所述的系统,其特征在于,在所述第二电极中的所述多个第二开孔与所述多个第一喷淋头沟槽同心地对准。
4.如权利要求1所述的系统,其特征在于,所述系统进一步包括定位在所述第二电极与所述基座之间的一个或更多第二气体入口,其中所述第二气体入口供应第二气体至所述气体反应区域。
5.如权利要求1所述的系统,其特征在于,所述系统进一步包括远端等离子体系统,所述远端等离子体系统耦合至所述气体入口且可操作以激发经由所述气体入口进入所述处理腔室的所述第一气体。
6.如权利要求1所述的系统,其特征在于,所述活化气体包括至少一个反应性自由基。
7.一种基板处理系统,所述基板处理系统包括:
气体入口,所述气体入口用于供应第一气体至处理腔室;
电极,所述电极包括多个开孔;
喷淋头,所述喷淋头包括多个第一沟槽及多个第二沟槽,所述多个第一沟槽准许在所述处理腔室中活化气体至气体反应区域的流通,所述多个第二沟槽准许第二气体至所述气体反应区域的流通,其中所述活化气体在所述电极与所述喷淋头之间的等离子体激发区域中形成,所述喷淋头亦作为第二电极;以及
基座,所述基座可操作以支撑基板,其中所述基座定位于所述气体反应区域下方。
8.如权利要求7所述的系统,其特征在于,在所述喷淋头中的所述多个第一沟槽抑制所述等离子体激发区域中的等离子体进入所述气体反应区域,同时准许所述活化气体穿过所述喷淋头。
9.如权利要求7所述的系统,其特征在于,所述系统进一步包括定位在所述喷淋头与所述基座之间的一个或更多第二气体入口,其中所述第二气体入口供应第二气体至所述气体反应区域。
10.如权利要求7所述的系统,其特征在于,所述系统进一步包括远端等离子体系统,所述远端等离子体系统耦合至所述气体入口且可操作以激发经由所述气体入口进入所述处理腔室的所述第一气体。
11.一种基板处理系统,所述基板处理系统包括:
气体入口,所述气体入口用于供应第一气体至处理腔室;
电极,所述电极包括多个第一开孔;
离子抑制器,所述离子抑制器包括具有多个第二开孔的电性导电平板,所述多个第二开孔准许在所述处理腔室中活化气体至气体反应区域的流通,其中所述活化气体在所述电极与所述离子抑制器之间的等离子体激发区域中形成;以及
基座,所述基座可操作以支撑基板,其中所述基座定位于所述气体反应区域下方。
12.如权利要求11所述的系统,其特征在于,所述系统进一步包括定位在所述离子抑制器与所述基座之间的喷淋头。
13.如权利要求11所述的系统,其特征在于,所述系统进一步包括远端等离子体系统,所述远端等离子体系统耦合至所述气体入口且可操作以激发经由所述气体入口进入所述处理腔室的所述第一气体。
14.如权利要求11所述的系统,其特征在于,所述系统包括电功率供应器,所述电功率供应器耦合至所述电极及所述离子抑制器,其中所述功率供应器可操作以在所述离子抑制器中产生可调整偏置电压来调整从所述等离子体激发区域传递至所述气体反应区域的所述活化气体中的离子浓度。
CN2011800659032A 2011-01-18 2011-12-20 使用电容耦合式等离子体的半导体处理系统及方法 Pending CN103329251A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161433633P 2011-01-18 2011-01-18
US61/433,633 2011-01-18
US13/251,663 US20120180954A1 (en) 2011-01-18 2011-10-03 Semiconductor processing system and methods using capacitively coupled plasma
US13/251,663 2011-10-03
PCT/US2011/066281 WO2012099681A2 (en) 2011-01-18 2011-12-20 Semiconductor processing system and methods using capacitively coupled plasma

Publications (1)

Publication Number Publication Date
CN103329251A true CN103329251A (zh) 2013-09-25

Family

ID=46489871

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800659032A Pending CN103329251A (zh) 2011-01-18 2011-12-20 使用电容耦合式等离子体的半导体处理系统及方法

Country Status (6)

Country Link
US (2) US20120180954A1 (zh)
JP (1) JP2014510390A (zh)
KR (1) KR101697479B1 (zh)
CN (1) CN103329251A (zh)
TW (1) TW201234461A (zh)
WO (1) WO2012099681A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016531436A (ja) * 2013-08-07 2016-10-06 北京北方微▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 プレクリーニングチャンバおよび半導体処理装置
CN106449472A (zh) * 2015-08-07 2017-02-22 应用材料公司 氧化物蚀刻选择性系统
CN108781500A (zh) * 2016-03-17 2018-11-09 株式会社杰希优 等离子体生成装置
CN112017932A (zh) * 2019-05-31 2020-12-01 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
CN112534542A (zh) * 2018-07-27 2021-03-19 应用材料公司 具有改善的离子阻断器的远程电容耦合等离子体源

Families Citing this family (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
KR101379701B1 (ko) * 2012-11-28 2014-04-01 한국표준과학연구원 기판 처리 장치 및 기판 처리 방법
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9536771B2 (en) * 2013-04-11 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gap fill self planarization on post EPI
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN105379428B (zh) * 2013-08-09 2017-07-04 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) * 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7109902B2 (ja) 2017-10-26 2022-08-01 株式会社ジャパンディスプレイ 表示装置及びその製造方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR20200127263A (ko) * 2018-03-28 2020-11-10 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘의 원격 용량성 커플링 플라즈마 증착
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11791181B2 (en) 2019-09-18 2023-10-17 Beijing E-Town Semiconductor Technology Co., Ltd Methods for the treatment of workpieces
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US20220130713A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber to accommodate parasitic plasma formation
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11978625B2 (en) 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films
KR102618464B1 (ko) * 2021-11-10 2023-12-27 (주)티로보틱스 반도체 제조장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040083967A1 (en) * 1999-11-10 2004-05-06 Nec Corporation Plasma CVD apparatus for large area CVD film
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN1847450A (zh) * 2001-03-19 2006-10-18 株式会社Ips 化学气相沉积设备
CN101174107A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 用于光掩模等离子体蚀刻的方法和装置

Family Cites Families (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
JPS5775738U (zh) 1980-10-27 1982-05-11
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5686734A (en) 1993-01-22 1997-11-11 Canon Kabushiki Kaisha Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW297135B (zh) 1995-03-20 1997-02-01 Hitachi Ltd
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
KR100243446B1 (ko) * 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
WO2001048800A1 (fr) 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1199378A4 (en) 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2006519473A (ja) 2003-03-04 2006-08-24 ダウ・コーニング・コーポレイション 有機発光ダイオード
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004328825A (ja) 2003-04-22 2004-11-18 Tdk Corp Dc−dcコンバータ
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
EP1667217A1 (en) 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
JP4570659B2 (ja) 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007140424A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
AU2008221198A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040083967A1 (en) * 1999-11-10 2004-05-06 Nec Corporation Plasma CVD apparatus for large area CVD film
CN1847450A (zh) * 2001-03-19 2006-10-18 株式会社Ips 化学气相沉积设备
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN101174107A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 用于光掩模等离子体蚀刻的方法和装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016531436A (ja) * 2013-08-07 2016-10-06 北京北方微▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 プレクリーニングチャンバおよび半導体処理装置
CN106449472A (zh) * 2015-08-07 2017-02-22 应用材料公司 氧化物蚀刻选择性系统
CN106449472B (zh) * 2015-08-07 2021-10-12 应用材料公司 氧化物蚀刻选择性系统
CN108781500A (zh) * 2016-03-17 2018-11-09 株式会社杰希优 等离子体生成装置
CN112534542A (zh) * 2018-07-27 2021-03-19 应用材料公司 具有改善的离子阻断器的远程电容耦合等离子体源
CN112017932A (zh) * 2019-05-31 2020-12-01 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构

Also Published As

Publication number Publication date
WO2012099681A2 (en) 2012-07-26
WO2012099681A3 (en) 2012-09-13
JP2014510390A (ja) 2014-04-24
US20120180954A1 (en) 2012-07-19
KR101697479B1 (ko) 2017-01-18
US20130153148A1 (en) 2013-06-20
KR20140043721A (ko) 2014-04-10
US9144147B2 (en) 2015-09-22
TW201234461A (en) 2012-08-16

Similar Documents

Publication Publication Date Title
CN103329251A (zh) 使用电容耦合式等离子体的半导体处理系统及方法
US10283321B2 (en) Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) Methods for etch of sin films
US9064815B2 (en) Methods for etch of metal and metal-oxide films
US10056233B2 (en) RPS assisted RF plasma source for semiconductor processing
US20200149166A1 (en) Flow control features of cvd chambers
CN106449472B (zh) 氧化物蚀刻选择性系统
TWI663646B (zh) 使用多個流體途徑的自由基化學調製及控制
JP2023029848A (ja) 複数の前駆体の流れのための半導体処理チャンバ
KR101509010B1 (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식 식각 레이트의 선택적인 억제
US8889566B2 (en) Low cost flowable dielectric films
KR20220079701A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
TW201616589A (zh) 半導體基板處理設備中之可調式對流擴散氣流所用的具備中央氣體注射器之陶瓷噴淋頭
CN102867725A (zh) 天线、电介质窗、等离子体处理装置和等离子体处理方法
CN108998776B (zh) 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
KR20110129685A (ko) 화학 기상 증착 장치 및 방법
CN102549756A (zh) 半导体器件、其制造方法及其制造装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130925