TW201234461A - Semiconductor processing system and methods using capacitively coupled plasma - Google Patents

Semiconductor processing system and methods using capacitively coupled plasma Download PDF

Info

Publication number
TW201234461A
TW201234461A TW101101602A TW101101602A TW201234461A TW 201234461 A TW201234461 A TW 201234461A TW 101101602 A TW101101602 A TW 101101602A TW 101101602 A TW101101602 A TW 101101602A TW 201234461 A TW201234461 A TW 201234461A
Authority
TW
Taiwan
Prior art keywords
gas
plasma
electrode
region
ion
Prior art date
Application number
TW101101602A
Other languages
English (en)
Inventor
Jang-Gyoo Yang
Matthew L Miller
xing-long Chen
Kien N Chuc
Qiwei Liang
Shankar Venkataraman
Dmitry Lubomirsky
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201234461A publication Critical patent/TW201234461A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

201234461 、發明說明: 相關申請案之交叉引用 本申請案係於2011年1月18日提出申請之標題名稱 為「SEMICONDUCTOR PROCESSING SYSTEM AND METHODS USING CAPACITIVELY COUPLED PLASMA」 之美國臨時專利申請案第61/433,633號之正式案且主張 該臨時專利申請案之申請曰期之權益,為達成所有目 的,該臨時專利申請案之全部揭示内容以引用之方式全 部併入本文。 【發明所屬之技術領域】 本發明係關於半導體製造系統。 【先前技術】 用於製造半導體積體電路之電漿沉積及蝕刻製程已廣 泛使用數十年。此等製程通常包含自產生電漿之氣體中 形成電漿,產生電漿之氣體暴露於處理腔室内部之功率 充足之電場中以使得氣體離子化。使此等氣體形成為電 漿所需之溫度可遠低於以熱方式離子化相同氣體所需之 溫度。因此,電漿產生製程可用來在顯著低於可能藉由 簡單加熱氣體進行之腔室處理溫度下自啟動氣體產生反 應性自由基及離子種類。此允許電漿自基板表面沉積及/ 或敍刻材料而無需將基板溫度上升至臨限值之上,將基 板溫度上升至臨限值之上將熔融、分解或者以其他方式 損壞基板上之材料。 201234461 示例性電毁沉積製程包括在基板晶圓之暴露表面上諸 如氧化矽之介電性材料的電漿輔助化學氣相沉積 (plasma-enhanced chemical vapor deposition; PECVD) ° 習知PECVD包含混合處理腔室内之氣體及/或沉積前驅 物’及自氣體觸發電漿以產生在基板上反應且沉積材料 之反應種類。電漿通常接近於基板之暴露表面定位以促 進反應產物之有效沉積。 類似地’電漿蝕刻製程包括暴露基板之經選擇部分至 電漿活化之蝕刻種類,活化之蝕刻種類在化學上發生反 應及/或在物理上濺射基板之材料。電漿蝕刻之材料的移 除速率、選擇率及方向可利用對姓刻劑氣體、電漿激發 月&及在基板與帶電電漿種類之間的電偏置以及其他參數 之調整來進行控制》—些電漿技術,諸如高密度電漿化 學氣相 >儿積(high-density plasma chemical vapor deposition; HDP-CVD)依靠同步的電漿蝕刻及沉積以產 生基板上之特徵結構。 儘官通常電漿環境對基板之破壞少於高溫沉積環境, 但是電漿環境仍產生製造挑戰。由於高能電漿過蝕刻淺 溝及間隙,所以蝕刻精度可能成為問題。電漿中之高能 種類,尤其離子化種類可在經沉積材料中產生非所欲反 應,非所欲反應不利地影響材料之效能❶因此,需要於 製造期間對接觸基板晶圓之電漿部件提供更精確控制之 系統及方法。 5 201234461 【發明内容】 系統及方法經描述以用於在電毁與暴露於電漿及/或 電聚之流出物的其姑曰間士 的基板H曰圓表面之間的環境之改良控制。 改良控制可藉由定位在電漿與基板之間的離子抑制元件 至少部分地實現,離子抑制元件減少或消除到達基板之 離子帶電種類之數目。在基板上之電漿辅㈣刻及/或沉 積』門調整到達基板表面之離子種類之濃度允許對鞋 刻速度ϋ刻選擇率及沉積化學性冑(以及其他參數) 之更精確控制。 在一些實财’離子抑制元件可為基板處理腔室之氣 體/前驅物輸送設備之部分。舉例而言,定位在電榮區域 與基板之間的腔室内部之喷淋頭可既作為氣體及前驅物 之分配部件又作為離子抑制器,料抑制器減少穿過噴 淋頭自電漿區域前進至基板之離子化種類之數量。在額 外實例中,離子抑制元件可為在電漿區域與基板之間的 具有一或更多開孔之隔板,電漿流出物可經由開孔自電 聚區域傳遞至基板。開孔之尺寸、位置及幾何形狀、隔 板與基板之間的距離以及隔板上之電偏置以及其他特性 可經選擇以控制到達基板之帶電種類之數量。在一些情 況下,隔板亦可作為幫助產生且界定處理腔室中之電浆 區域之電極。 本發明之實施例包括具有定位於處理腔室内部之電容 輕合式電漿(capacitively coupled plasma; CCP)單元之基 201234461 板處理系統。CCP單元可包括在第一電極與第二電極之 間形成之電漿激勵區域《第一電極可包括複數個第一開 孔以準許第一氣體進入電漿激勵區域,且第二電極可包 括複數個第二開孔以準許活化氣體離開電漿激勵區域。 系統可進一步包括氣體入口及基座,氣體入口用於供應 第一氣體至CCP單元之第一電極,基座為可操作的以支 撐基板。基座定位在氣體反應區域下方,活化氣體自ccp 單元前進進入氣體反應區域。 本發明之實施例進一步包括額外基板處理系統。此等 系統可包括用於供應第一氣體至處理腔室之氣體入口、 包含複數個開孔之電極及喷淋頭。喷淋頭可包括複數個 第一溝槽及複數個第二溝槽,複數個第一溝槽準許處理 腔室内活化氣體至氣體反應區域之流通,複數個第二溝 槽準許第二氣體至氣體反應區域之流通。活化氣體在電 極與喷淋頭之間的電漿激勵區域内形成,活化氣體亦作 為第H系統可進-步包括定位在氣體反應區域下 方之基座,基座為可操作的以支撐基板。 本發明之實施例更進一步包括具有離子抑制器之基板 處理系統。此等系統可包括用於供應第—氣體至處理腔 室之氣體人口、具有複數個第—開孔之電極及離子抑制 器❶離子抑制器可包括具有複數個第二開孔之電性導電 平板’複數㈣二開孔準許處理腔室内活化氣體至氣體 反應區域之流通。活化氣體在電極與離子抑制器之間的 電漿激勵區域内形成。此等系統可進一步包括定位在氣 201234461 體反應區域下方之基座,基座為可操料以切基板。 在隨後描述中將部分地閣述額外實施例及特徵結構, 且對於熟習此項技術者而言,額外實施例及特徵結構在 審閱說明書之後將部分地變得顯而易見或可由本發明之 實踐來瞭解。本發明之特徵結構及優點可利用說明書中 所述之工具、組合及方法來實現且完成。 【實施方式】 系統及方法㈣述以詩產生及控料導體處理腔室 内#之電J:。電漿可起源於處理腔室内部、遠端電漿單 7G中之處理腔室外部,或兩者。腔室内部含有電漿,且 電漿制離子抑制元件與基板晶圓分離,離子抑制元件 疋位在電漿與基板晶圓之間。在一些情況下,此離子抑 制元件亦可作為電聚產生單元之部分(例如電極)、氣體 /前驅物分配系統之部>(例如喷淋頭)及/或處理号系 統之另-部件之部分。在額外情況下,離子抑制元件可 主要用於界定在電漿產生區域與氣體反應區域之間的隔 板,隔板在基板晶圓之暴露表面上飯刻及/或沉積材料。 離子抑制元件用以減少或消除自電漿產生區域前進至 基板之離子帶電種類之數量。不帶電中性粒子及自由基 種類仍可穿過離子抑制器中之開孔以與基板反應。應I 意’在環繞基板之反應區域中完全消除離子帶電種類並 非始終為期望目標。在多數情況下,要求離子種類到達 基板以執行蝕刻及/或沉積製程。在此等情況下,離子抑 201234461 中離子種類之濃度於輔助製程之 制器幫助控制反應區域 等級。 示例性處理系统& 示例性處理系統配置包括雜 直匕括離子抑制器,離子抑制器定 位於處理腔室内部以控制刭查 制到達基板之電漿激勵種類的類 型及數量。在一些實施例中, J甲離子抑制器單元可為穿孔 之平板,穿孔之平板亦可作為電聚產^元之電極。在 額外實施财,離子抑㈣可為噴淋頭,喷淋頭分配氣 體及激勵種類至與基板接觸之反應區域。在更多實施例 中,離子抑制可由穿?丨丰 孔十板離子抑制器及喷淋頭實現, 電漿激勵種類穿過穿孔平妬齙 牙札十板離子抑制器及喷淋頭兩者以 到達反應區域。 第1圖及第2圖分另丨+ 么 團刀⑴圖不處理系統之簡化橫截面圖及 簡化透視圖’處理系統包括作為電容耦合式電漿(CCP) 早兀102之部分的離子抑制胃11〇及亦可有助於離子抑 制之噴淋頭1 04兩去。Ϊ田么Μ 两者。處理糸統亦可選擇性地包括位於 處理腔室1 00外部之部件,諸如流體供應系統U4。處 理L室100可固持不同於環繞壓力之内部壓力。舉例而 吕,處理腔室内部之壓力可為約10毫托至約20托。 CCP單元1〇2可用以在處理腔室1〇〇内部產生電漿。 CCP單το 1〇2之部件可包括蓋或熱電極1〇6及離子抑制 兀件110 (本文亦稱為離子抑制器)。在一些實施例中, 蓋106及離子抑制器11〇為導電電極,導電電極可相對 於彼此經電偏置以產生足夠強之電場來將電極之間的氣 201234461 體離子化為電漿 子抑制器 電絕緣體108可分離蓋1〇6電極及離 110電極以防止蓋1〇6電極及離子抑制器 電極在產生電漿時短路。 制器110之暴露於電漿的 電漿激勵區域112。 蓋106、絕緣體1〇8及離子抑 表面可在CCP單元1〇2中界定 產生電漿之氣體可穿過氣體入口 116自氣體供應系統 Π4前進進入電漿激勵區域112。產生電漿之氣體可用來 在激勵區域m中觸發電漿,或可保持已形成之電漿。 在一些實施例中,產生電漿之氣體在穿過入口 ιΐ6向下 游前進至CCP單元1〇2之前可能已至少部分地轉化成為 遠端電聚系統(未圖示)中之電漿激勵種類,遠端電浆 系統定位於處理腔室100外部。當電衆激勵種類到達電 漿激勵區域112時,電漿激勵種類可在ccp單元1〇2中 經進一步激勵或穿過電漿激勵區域而不進行進一步激 勵。在-些操作中’由CCP單元1〇2提供之增加激勵的 程度可取決於基板處理順序及/或條件來隨時間改變。 產生電聚之氣體及/或電漿激勵種類可穿過蓋中 之複數個孔(未圖示)以更均勻地輸送進入電漿激勵區 域112。示例性配置包括使入口 116通向氣體供應區域 120以便氣體/種類流經蓋106中之孔進入電漿激勵區域 112,氣體供應區域120由蓋1〇6自電漿激勵區域112 中分隔得到。結構化且可操作之特徵結構可經選擇以防 止電漿自電漿激勵區域112回至供應區域12〇、入口 116 及流體供應系統114内之顯著回流。如下文第7A圖及 10 201234461 第7B圖中所述,結構化特徵結構可包括蓋⑽中之孔 的尺寸及橫截面幾何形狀之選摆, 俘盍106阻止回流電 衆。可操作之特徵結構可包括保 饰符軋體供應區域120與 電漿激勵區域112之間的壓力差厭 龙刀差,壓力差經由離子抑制 器110保持電漿之單向流動。 如上所述,蓋106及離子抑制器11〇可分別用作第一 電極及第二電極’以便蓋1〇6及/或離子抑制器”"接 收電荷。纟此等配置中’可將電功率(例如,射頻(radi〇 frequency; RF)功率)施加至蓋1〇6、離子抑制器ιι〇, 或兩者。舉例而言,可將電功率施加至蓋1〇6 ,同時將 離子抑制器11〇接地。基板處理系統可包括為蓋1〇6及/ 或離子抑制器110提供電功率之RF產生器14〇。帶電之 蓋106可促進電漿在電漿激勵區域112内之均勻分配(亦 即,減少局域化電漿)^為了使得能夠在電漿激勵區域 112中形成電漿,絕緣體108可使蓋1〇6与離子抑制器 110電絕緣。絕緣體108可由陶瓷製得且可具有高擊穿 電壓以避免放電。CCP單元102可進一步包括冷卻單元 (未圖示)’冷卻單元包括一或更多冷卻流體溝槽以利用 猶環冷卻劑(例如水)來冷卻暴露於電漿之表面。 離子抑制器110可包括複數個孔122,複數個孔122 抑制離子帶電種類在電漿激勵區域112外之遷移,同時 允許不帶電之中性粒子或自由基種類穿過離子抑制器 U〇進入活化氣體輸送區域124。此等不帶電種類可包括 高度反應種類,高度反應種類穿過孔122以較少反應載 11 201234461 氣進行傳送。如上所述,離子義穿過孔122之遷移可 減/且在些情況下可完全被抑制。控制穿過離子抑制 器110之離子種類之數量提供對與下層晶圓基板接觸之 氣體混合物的增加㈣,增加控制進而增加對氣體混合 物之沉積及/或蝕刻特性之控制。舉例而言,調整氣體混 合物之離子濃度可顯著地改變氣體混合物之蝕刻選擇率 (例如si〇x:SiNx蝕刻比、聚Si:Si〇^刻比等)。調整 氣體混合物之離子濃度亦可偏移沉積的介電性材料之共 形至流動之平衡。 複數個孔122可經配置以控制穿過離子抑制器11〇活 化氣體(亦即,離子、自由基及/或中性粒子種類)之流 通。舉例而1:,孔之深寬比(亦即,孔直徑比長度)及/ 或孔之幾何形狀可經控制以便減少穿過離子抑制器工^ 〇 之活化氣體中之離子帶電種類之流動。離子抑制器11〇 中之孔可包括面向電漿激勵區域112之錐形部分及面向 喷淋頭104之圓柱形部分。圓柱形部分可經定形且標注 尺寸以控制傳遞至喷淋頭1〇4之離子種類之流動。亦可 將可調整電偏置作為額外手段施加至離子抑制器i ι〇以 控制穿過抑制器之離子種類之流動。 喷淋頭104定位在ccp單元1〇2之離子抑制器ιι〇與 氣體反應區域130 (亦即,氣體活化區域)之間,氣體 反應區域130與可安裴在基座15〇上之基板接觸。氣體 及電漿激勵種類可穿過離子抑制器11〇進入活化氣體輸 送區域124 ’活化氣體輪送區域124界定在離子抑制器 12 201234461 110與喷淋頭1〇4之間。此等氣體及種類中之部分可進 一步穿過噴淋頭104進入與基板接觸之氣體反應區域 130 ° 喷淋頭可為雙區域喷淋頭’雙區域喷淋頭具有準許電 漿激勵種類之流通的第一組溝槽1 2 6及輸送第二氣體/ 前驅物混合物進入氣體反應/活化區域1 30之第二組溝 槽。兩組溝槽防止電漿激勵種類及第二氣體/前驅物混合 物發生組合,直到電漿激勵種類及第二氣體/前驅物混合 物到達乳體反應區域1 3 〇 ^在一些實施例中,離子抑制 器11〇中之一或更多孔122可與喷淋頭1〇4中之一或更 多溝槽126對準以允許至少一些電漿激勵種類穿過孔 122及溝槽126而不改變電漿激勵種類的喷射方向。在 額外實施例中,第二組溝槽可在面向氣體反應區域13〇 之開孔處具有環形形狀,且此等環形開孔可在第一組溝 槽12 6之圓开> 開孔周圍進行同中心地對準。 喷淋頭104中之第二組溝槽可流體輕合至經選擇用於 待執行之製程的源氣體/前驅物混合物(未圖示)。舉例 而。田處理系統經配置以執行諸如二氧化矽(sUicon dioxide; SiOx)之介電性材料之沉積時,氣體/前驅物混合 物可包括含有矽之氣體或前驅物,諸如矽烷、二矽烷' TSA、DSA '四乙氧基石夕烧(TE〇s)、〇mcts、tm则 以及其他含有$之材料。此混合物可在氣體反應區域 13〇中與氧化氣體混合物反應,氧化氣體混合物可包括 電聚激勵種類,諸如產生電漿之氧自由基⑼、活化分子 13 201234461 氧(〇2)及臭氧(〇3)以及其他種類。當種類穿過離子抑制 器川中之孔122時,電漿激勵種類中之過度離子可得 以減:’且當種類穿過喷淋頭1〇4中之溝槽⑶時過度 離子得以進一步減少。在另一實例中,者 一 m ㊄處理系統經配 置以執行基板表面上之韻刻時,源氣體/前驅物混合物可 包括諸:氧化劑、齒素、水蒸汽及/或載氣之㈣劑,钱 刻知彳在氣體反應區域1 3 〇中與自哈—Μ Τ丹目τ淋碩1 04之第一.組溝 槽中分配之電漿激勵種類混合。 處理系統可進一步包括功率 匕秸力早供應14〇,功率供應器 140電性耦合至CCP單元109 w a梵 。。 1 02以向羞1 06及/或離子抑制 盗U〇提供電功率’以在電漿激勵區域112中產生電漿。 電源供應器.可取決於所執行之贺兹、# π 订炙I%進仃配置以輸送可調 整量之功率至CCP單元102β例如在沉積製程中,輸送 至CCP早凡102之功率可經調整以設定沉積層之共形 性。沉積之介電性薄臈通常於較低電衆功率為更可流動 的’且當電漿功率增加時沉積之介電性薄膜自可流動的 轉為共形的。舉例而言,當電聚功率自約刪瓦降低至 約100瓦或更低(例如約_瓦、_瓦、瓦、600 瓦或瓦或更少)時’在電衆激勵區域112中保持之 含氬電聚可產生更可流動的氧化石夕層,且當電聚功率自 約1000瓦或更多(你丨‘ & ⑽如約丨〇〇〇瓦、lioo瓦、1200瓦、 1300瓦、1400瓦、1500瓦,瓦、mo瓦或更多) 增加時’在電漿激勵區蜮"2中保持之含氬電漿可產生 更共形的層。當電漿ιΛ、玄A / 浆功辜自低至高地增加時,自流動沉 14 201234461 積薄膜至共形沉積薄膜之過渡可為相對平滑及連續的, 或經由相對離散之時錢行。(單獨或除其他沉積參數 之外的)電聚功率可經調整以選擇沉積薄膜之共 與流動性質之間的平衡。 處理系統可更進一步包括基座15〇,基座15〇為可操 作的以支撐並移動基板(例如晶圓基板)。基座15〇與喷 淋頭1〇4之間的距離幫助界定氣體反應區域13〇。基座 在處理腔室100内可為垂直可調整或軸向可調整的,以 增大或減小氣體反應區$ 130且相對於穿過喷淋頭104 之氣體藉由複位晶圓基板來實現晶圓基板之沉積或蝕 刻。基座150可具有熱交換溝槽,熱交換流體流經熱交 換溝槽以控制晶圓基板之溫度。熱交換流體之循環使得 基板溫度保持在相對低之溫度(例如約_20<t至約卯它) 下。示例性熱交換流體包括乙二醇及水。 基座150亦可配置有加熱元件(諸如電阻性加熱元件) 以保持基板在加熱溫度(例如約9(rc至約丨丨〇〇(>c '丨下。 示例性加熱元件可包括嵌入基板支撐盤之單迴路加熱器 元件,單迴路加熱器元件形成具有平行同心圓形式之兩 個或更多整圈(full tUrn)。加熱器元件之外部可鄰近支撐 平臺之周邊執# ’同時加熱器元件<内部可在具有較小 半徑之同心®之路徑上執行。加熱以件之佈線可穿過 基座之桿(stem)。 第3圖圖示一對氣體混合物穿過處理系統之氣流路徑 之簡化示意圖300,處理系統包括離子抑制器平板及喷 15 201234461 淋頭兩者。在方塊3 05中,諸如產生電漿的氣體混合物 之第一氣體經由氣體入口供應至處理腔室。第一氣體可 包括下列氣體之一或更多者:CF4、NH3、NF3、Ar、He、 ΗζΟ、H2、〇2等。在處理腔室内部,第一氣體可經由電 紫放電進行激勵以在方塊310中形成一或更多電漿流出 物。或者(或除原位電漿產生之外)可使用搞合至處理 腔至之遠端電聚系統(rem〇te plasma system; RPS)產生 非原位電漿,非原位電漿之電漿激勵產品被引入至處理 腔室中。RPS電漿激勵產品可包括離子帶電電漿種類以 及中性粒子及自由基基種類。 不論電漿流出物是由原位電漿單元產生 '由RPS單元 產生’還是由兩者產生’電漿流出物皆可在方塊315中 穿過處理腔室中之離子抑制器。當電漿活化之第一氣體 刖進至處理腔室中之氣體反應區域時,離子抑制器可阻 斷及/或控制離子種類之流通,同時允許自由基及/或中 性粒子種類之流通。在方塊32〇中,可將第二氣體引入 至處理腔室中。如上所述’第二氣體之内含物取決於所 執行之製程。舉例而言,第二氣體可包括用於沉積製程 之沉積化合物(例如含矽化合物)及用於蝕刻製程之蝕 刻劑。可對第一氣體與第二氣體之間的接觸及反應予以 防止,直到氣體到達處理腔室之氣體反應區域。 在氣體反應區域之前防止第一氣體及第二氣體相互作 用之-個方式為使第一氣體及第二氣體流經雙區域噴淋 頭中之個別的溝槽。方塊33()圖示活化之第—氣體及第 16 201234461 一、牙過具有複數個第一溝槽之雙區域喷淋頭 (dual-zone sh〇Werhead; dzsh),複數個第一溝槽準許活 化之第一氣體穿過喷淋頭而不與穿過複數個第二溝槽之 第二氣體相互作用。在離開DZSH之後,在方塊33:5中, 第-氣體及第二氣體可在處理腔室之氣體反應區域中混 °取決於所執行之製程,組合氣體可反應以在基板暴 露表面上沉積材料、自基板中蝕刻材料或兩者皆有。 現參閲第4圖,第4圖圖示具有喷淋頭4〇2之處理系 統400之簡化橫戴面圖’噴淋頭術亦作為離子抑制元 件。在圖示之配置中,將用於電漿產生之第一氣源4〇2 流體耦合至任選之RPS單元4〇4,在Rps單元4〇4中可 產生第電漿且電漿流出物經由氣體入口 408傳送進入 處理腔室406。在處理腔室内部,氣體可穿過氣體 分配板412中之孔410進入界定在平板412與喷淋頭4〇2 之間的氣體區域414。在一些實施例中,此區域414可 為電漿激勵/活化區域’纟電漿激勵/活化區域中氣體分 配板412及喷淋頭402作為第一電極及第二電極,以進 一步激勵氣體及/或產生第一電毁。氣體分配板412中之 孔410 了在尺寸上或幾何學地經構造以阻止回流電漿。 平板412及噴淋頭402可與RF功率產生器422進行耦 合,RF功率產生器422向平板412及喷淋頭4〇2供應電 荷以激勵氣體及/或產生電漿,在一个實施例中,喷淋頭 402接地,同時電荷經施加至平板4丨2。 氣體區域414中之激勵氣體或活化氣體可穿過噴淋頭 17 201234461 402進入鄰近基板418之氣體反應區域416,以自基板表 面钱刻材料及/或在基板表面上沉積材料。喷淋頭4 0 2可 為雙區域噴淋頭(DZSH),雙區域喷淋頭允許激勵氣體自 氣體區域414進入氣體反應區域416,同時亦允許第二 氣體(亦即,前驅物氣體/混合物)經由第二氣體入口(未 圖示)自外部源(未圖示)流入氣體反應區域4丨6。DZSh 可防止活化/激勵氣體與第二氣體混合,直到氣體流入氣 體反應區域416。 激勵氣體可流經DZSH中之複數個孔424,複數個孔 424可在尺寸上及/或幾何學地經構造以控制或防止電漿 (亦即,離子帶電種類)之流通,同時允許活化/激勵氣 體(亦即’反應性自由基或不帶電中性粒子種類)之流 通。第7A圖提供可在DZSH中使用的孔配置之示例性 實施例。除孔424之外,DZSH亦可包括複數個溝槽426, 第二氣體流經複數個溝槽426 ^第二氣體(前驅物氣體) 可經由一或更多穿孔(未圖示)離開噴淋頭402,一或 更多穿孔鄰近於孔424定位。DZSH可作為第二氣體輸 送系統及離子抑制元件兩者。 如上所述,混合氣體可在基板418之表面沉積材料及/ 或钱刻基板418之表面,基板418可定位在平臺420上。 平臺420可在處理腔室406内垂直地移動。在處理腔室 406内基板418之處理可受孔4以之配置、在氣體區域 414内之壓力及/或在處理腔室内基板418之定位影響。 另外,孔424之配置及/或在氣體區域414内之壓力可控 18 201234461 Γ允許進人氣體激㈣域川之離子種類(„)之數 夏。氣體混合物之離子濃度除改變㈣選擇率之外,亦 可偏移沉積之介電性材料之共形至流動之平衡。’、 現在參閱第5圖,第5胃胃_ 第5圖圖不具有作為離子抑制元 之平板5 12 (亦即,離工& Ρ離子抑制器平板)之另—處理系 500的簡化橫截面圖。在 甲將第一氣源502 流體輕合至RPS單元5〇4,在Rps單元5〇4中可產生第 一電聚且電讓流出物經由氣體人口則傳送進入處理腔 室506。可將電漿流出物傳送至界定在離子抑制器平板 512與氣體入σ 5G8之間的氣體區域514。在氣體區域 514内部’氣體可穿過離子抑制器512中之孔別進入 界定在離子抑制n512與基te528之間的氣體反應/活化 區域516。基板518可支撑在如上所述之平臺52〇上以 便基板在處理腔室506内可移動。 亦如上所述’孔5U)可在尺寸上及/或幾何學地經構造 以便防止及/或控制離子帶電種類(亦即,電漿)之流通, 同時準許不帶電中性粒子或自由基種類(亦即,活化氣 體)之流通。離子種類之流通可藉由改變在氣體區域5 ^ 4 内的電漿之壓力而可控制。氣體區域514中之壓力可藉 由控制經由氣體入口 508傳送之氣體數量來控制。可將 前驅物氣體(亦即,第二氣體)在—或更多第二氣體入 口 522處引入至處理腔室506, 一或更多第二氣體入口 522垂直地定位於離子抑制器512下方或與離子抑制器 512平行。第二氣體入口 522可包括處理腔室5〇6壁中 19 201234461 之-或更多穿孔、管道等(未圖示)且可進一步包括一 或更多氣體分配溝槽(未圖示)以輸送前驅物氣體至穿 孔、管道等。在一個實施例中’離子抑制器512包括一 或更多第二氣體入口,前驅物氣體流經第二氣體/口。 離子抑制11 512之第m口可輸送前驅物氣體進入 亂體反應區域516。在此實施例中’離子抑制n 512作 為如前所述之離子抑制器及雙區域喷淋頭兩者。穿過孔 510之活化氣體及在處理腔室·中引入之前驅物氣體 可在氣體反應t 516中進行混合以用於㈣及/或沉積 製程。 現已描述了處理腔室之示例性實施例,現將注意力導 引至諸如離子抑制器平板412及離子抑制器平板512及 喷淋頭402之離子抑制器之示例性實施例。 抑制器 第6A圖圖示根據本發明之實施例之離子抑制元件 (離子抑制器)之簡化透視圖。離子抑制元件6 0 0 叮ί應第4圖及/或第5圖之離子抑制器平板。透視圖圖 不離子抑制元件或平板6〇〇之頂部。離子抑制平板6〇〇 通韦可為圓形且可包括複數個電漿流出物通道6〇2,其 中之每一者包括一或更多通孔,一或更多通孔 允許電毁流出物自第一區域(例如電漿區域)至第二區 域(例如氣體反應區域或喷淋頭)之流通。在一個實施 例中’雖然可能有其他配置,但是通道602之通孔可經 佈置以形成一或更多圓形圖樣。如先前所述,通孔可在 201234461 幾何學地或在尺寸上經配置以控制或防止離子種類之流 通,同時允許不帶電中性粒子或自由基種類之流通。通 孔可具有朝向離子抑制平板600之頂表面之較大内徑及 朝向離子抑制平板之底表面之較小内徑。另外,通孔通 常可為圓柱形、圓錐形,或圓柱形及圓錐形之任何組合。 第7A圖至帛7B _提供通孔之配置之示例性實施例。 複數個通道實質上可均句地分配在離子抑制平板600 之表面上’複數個通道可提供穿過離子抑制平板繼進 入第-區域之中性粒子或自由基種類之均勻流通。在一 些實施例中’諸如第5圖之實施例,處理腔室可僅包括 離子抑制平板600 ’而在其他實施例中,處理腔室可包 括離子抑制平600及噴淋頭兩者’諸如第6B圖之喷 頭4處理腔至可包括既作為雙區域喷淋頭又作為離 子抑制平板之單個平板。 6B圖圖示根據本發明之實施例的噴淋頭62〇之簡 化的底部透視圖。噴淋頭62〇可對應於第4圖中所圖示 ^喷淋碩。如先前所述,噴淋頭_可垂直地定位為鄰 乳體反應區域且在氣體反應區域之上。類似於離子抑 第二板_ f淋頭62〇通常可為圓形且可包括複數個 -孔622及複數個第二孔…複數個第一孔⑵可 允許電漿流出物穿過咱_ A 、噴淋頭620進入氣體反應區域,同 物氣:,Γ24允許諸如石夕前驅物、钕刻劑等前驅 轧體進入氣體反應區域。 複數個第-孔622可為自喷淋頭62〇之頂表面穿過喷 21 201234461 淋頭延伸之通孔。在—^ f 巾 夏抛例τ,複數個第一孔622 中之每二者可具有朝向喷淋頭620之頂表面之較小内徑 —以如酬er;ID)及朝向底表面之較大⑴。此外,去 電聚流出物離開喷淋頭時,複數個第—孔⑵之底部: 緣可經㈣助均勻地分配氣^顧域中之電 衆流出物’且因此促進電漿流出物及前驅物氣體之均勾 混合。第一孔622之較小τη ΰΓ盔 > 认 早乂小1D可為在約mm與約20 mm 之間。在一個實施例中,較小ID可在約imm與 之間。第-孔622之橫斷面形狀通常可為圓柱形、圓錐 形’或圓柱形及圓錐形之任何組合。另外,當離子抑制 -件_及喷淋頭㈣兩者皆在處理腔室中使用時,第 一孔622可與通道_之通孔同心地對準。同心對準可 經由處理腔室中之離子抑制元件6〇〇及噴淋頭㈣兩者 促進活化氣體之流通。 在另一實施例中,複數個第-孔6 2 2可為自喷淋頭6 2 〇 :頂表面延伸穿過喷淋頭之通孔,其中第一孔⑵令之 每-者具有朝向喷淋頭之頂表面之較大的m及朝向嘴 淋頭之底表面之較小ID。另外,第一孔622可包括在較 大ID與較小id之間過渡之錐形區域。此配置可防止或 調節穿過通孔之電漿的流通’同時準許活化氣體之流 通此等實施例可在適當位置或除離子抑制元件6⑽之 卜使用。第7A圖提供此等通孔之示例性實施例。 複數個帛孔622之數目可為在約6〇與約2〇〇〇之 間。複數個第-孔622亦可具有各種形狀,但是複數個 22 201234461 包括離子抑制平板 複數個第一孔622 離子抑制平板及喷 第孔622通常為圓形<*在處理腔室 及噴淋頭620兩者之實施例中, 實質上可與通道6G2對準以促進穿過 淋頭之電漿流出物之流通。 攸蚁個第二孔624可自喷淋 伸穿過噴漱頭 底表面部分地延 喷淋頭。複數個第二孔可與複數個溝槽耦合或連 接至複數個溝槽(未圖示),複數個溝槽(未圖示)自外 部氣源(未圖示)輸送前驅物氣體(例如沉積化合物、 钱刻劑等)至筮-d Mf , 一 L 624。第二孔可包括在噴淋頭62〇 之底表面上之較小ID及在喷淋頭之内容積中之較大 ID。第二孔624之數目在不同實施例中可為在約100與 約5000之間或在約5〇〇與約2〇〇〇之間。第二孔之較小 ID之直控(亦即,在底表面上孔之直徑)可為在約。1⑺以 …”勺2 mm之間。第二孔624通常為圓形且同樣可為圓 柱形、圓錐形,或圓柱形及圓錐形之任何組合。第一孔 及第二孔兩者可在喷淋頭62〇之底表面上予以均勻地分 配以促進電漿流出物及前驅物氣體之均勻混合。 參閱第7A圖,第7A圖圖示通孔之配置之示例性實施 例。所述之通孔通常包括朝向孔之上端之較大内徑() 區域及朝向孔之底部或下端之較小ID區域。較小iD可 為在約0.2 mm與約5 mm之間。另外,孔之深寬比(亦 即’較小ID比孔長度)可為大約1比2〇。此等配置實 質上可阻斷及/或控制電漿流出物之離子種類之流通,同 時允許自由基或中性粒子種類之流通。舉例而言,改變 23 201234461 深寬比可調節允許穿過通孔之電漿之數量。可藉由改變 在通孔正上方之區域内電漿的壓力來進一步調節電漿之 流通。 現參閱特定配置,通孔702可包括在孔之上端之較大 ID區域704及在孔之下端之較小ID區域7〇6,以及在 D與較小I d之間的階梯形邊緣。通孔γ 1 〇可包括 在孔之上&之較大ID區域712及在孔之下端之較大id 區域716,以及在孔之上端之較大ID區域712與在孔之 下端之較大ID區域716之間的較小id區域714。在較 大ID區域與較小ID區域之間的過渡可為階梯形或鈍的 以提供在區域之間的突然轉換。 通孔720可包括在孔之上端之較大ID區域722及在 孔之下端之較小ID區域726以及錐形區域724,錐形區 域724在較大區域與較小區域之間以角度㊀過渡。較小 ⑴區域726之高度728可取決於孔之總高度727、錐形 區域724之角度θ、較大ID及較小m。在一個實施例 中,錐形區域724包含在約15。與約3〇。之間且較佳地約 的角度’總咼度727為在約4 mm與約8 mm之間且 較佳為約6·35酿,較大ID為在約1 mm與約4職之 間且較佳為約2.54 mm,較小⑴為約.2 mm與1.2 mm =間且較佳為約.89 mm,以便較小m區域726區域之 向度728為在'約1随與約3匪之間,且較佳為約 mm ° 通孔730可包括在孔之上端之第一 ID區域732、與第 24 201234461 - ID區域732同心地對準且垂直地定位於第一 ID區域 732下方之第:ID區域734,及與第二m區域”4同 心地對準且垂直地定位於第二ID區域734下方之第三 ID區域736。第—ID區域732可包含較大,第二I。 區域734可包含較小1D,I第三ID區域736可包含比 第二ID區域734猶大之⑴。第三m區域W可延伸至 孔之下端或可向外成錐形至出口 m 737。在第三山區 域736與出DID 737之間的錐形可以角度θ3成錐^ 角度θ3可為在約15。與約%。之間且較佳為約a。。第二 ID區域734可包括自第- ID區域732以角度θι過渡: 倒角邊緣,角度91可為在約11〇。與約14〇。之間。類似 的’第二ID區域734可包括以角度h向第三出區域 736中過渡之倒角邊緣,角“亦可為在’約110。與約 140之間。在一個實施例中,第一區域η〗之較大I。 可為在約2.5職與約7酿之間且較佳為約以職, 第二ID區域734夕私, 小ID可為在約2 mm與約:5 mm 之間且較佳為約.04mmHID區域7%之稍大⑴可 為在約.75 mm與約 之間且較佳為約1 · 1 m m,且出 D可為在約2.5 _與約5 mm之間且較佳為約3 8 mm ° 在較大⑴區域與較小1D區域之間的過渡(鈍的、階 梯形的、錐形的等)實質上可阻止離子種類流通穿過孔, 同時允許自由基或中性粒子種類之流通。舉例而言,現 參閱第7B圖’第7B圖圖示通孔72〇之放大圓式,通孔 25 201234461 720包括在較大ID區域722與較小id區域726之間的 過渡區域724。錐形區域724實質上可防止電漿725穿 透通孔702。舉例而言,當電漿725穿透進入通孔72〇 時,離子種類可藉由接觸錐形區域724之壁來阻止或接 地,從而限制穿過通孔之電漿流通並使電漿包含在通孔 720上方的區域内。然而,自由基或中性粒子種類可穿 過通孔720。因此,通孔72〇可過濾電漿72〇以防止或 控制非所欲種類之流通。在示例性實施例中,通孔之較 小ID區域726包含i mm或更小之ID。為保持穿透通 孔之自由基及/或中性粒子種類之顯著濃度,可控制較小 ID區域之長度及/或錐形角度。 除防止電聚流通之外’本文所述之通孔亦可用來調節 電漿流通以便允許期望等級之電漿穿過通孔。調節穿過 通孔之電漿流動可包括增加在離子抑制器平板上方的氣 體區域中之電聚壓力,以便期望比例之電毁能夠穿過離 子抑制器而不受阻止或接地。 現在參閱第8 β ’第8圖圖示電容耦合式電漿(ccp) 單元800之簡化圖式。特定言之,所圖示t ccp單元 之頂部平板802及底部 800包括界定電漿產生區域 平板804,在電漿產生區域81〇中含有電漿。如先前所 述,可藉由RPS (未圖示)產生電毁且經由通孔8〇6將 電製輸送至電毁產生區域81〇。替代地或另夕卜,當第一 電極及第二電極耦合至功率產生單元(未圖示”夺,可 在⑽單元800中例如藉由利用頂部平板8〇2及底部平 26 201234461 板804產生電漿。 頂。卩平板802可包括通孔8〇6,通孔8〇6允許將製程 亂體及/或電漿輸送入電漿產生區域81〇中,同時防止電 漿穿過頂部平板802回流。通孔8〇6可類似於通孔73〇 配置’通孔806具有第一 ID區域、第二m區域及第三 ID區域(分別為82〇、822及824)’以及在鄰接區域( 及829 )之間的倒角邊緣及在第三ID區域824與出口 ID 之間過渡的錐形區域826。當電漿穿透入通孔8〇6時, 在第三ID區域824與出口 ID之間的錐形區域826及/ 或在第二ID區域與第三ID區域(分別為822及824 ) 之間的倒角邊緣可藉由阻止離子種類或使離子種類接地 來防止電漿回流。 類似地,底部平板804可包括通孔808,通孔808允 許自由基或中性粒子種類穿過通孔,同時防止或控制離 子種類之流通。通孔808可類似於通孔720配置,通孔 8〇8具有較大ID區域830、較小ID區域832及在較大 ID區域830與較小ID區域832之間過渡的錐形區域 834。錐形區域834可如先前所說明地藉由阻止或使離子 種類接地來防止穿過通孔808之電漿流動,同時允許自 由基或中性粒子種類穿過通孔808。 為進一步防止穿過通孔之電漿流通,802及/或804, 頂部平板802及/或底部平板804可接收電荷來電性偏置 電漿並使電漿包含在電漿產生區域810内及/或調整穿 過底部平板之活化氣體中的離子濃度。使用CCP單元 27 201234461 800令之頂部平板802及底部平板804,可在電漿產生區 域810中實質上產生及/或保持電漿,同時將自由基及中 性粒子種類輪送至氣體反應區域以與一或更多前驅物氣 體進行混合,從而蝕刻基板表面上之材料或在基板表面 上沉積材料。 藉由已描述之若干實施例,熟習此項技術者將認識 到,在不脫離本發明之精神的情況下,可使用各種修改、 替代性結構及等效形式。另外,未描述許多熟知製程及 凡件,以避免不必要地模糊本發明。因此,上述描述將 不視為限制本發明之範轉。 在提供數值||圍的情況-P,應理解,亦特定地揭示在 數值範圍之上限與下限之間的每一插入值,除非上下文 另外清楚地規定,每一插入值達下限之單位的十分之 -。本發明涵蓋在說明範圍内之任何說明值或插入值與 在彼說明範圍内之任何其他說明值或插人值之間的每一 較小範圍。此等較小範圍之上限及下限可獨立地自括在 範圍内或排除在範圍外’且受制於說明範圍内任何特定 的排他性上下限,本發明亦涵蓋上限及下限中之任一者 包含在較小範圍内、上限及下 r I艮白不包含在較小範圍 内’或者上限及下限皆包含在赫 匕3在較小範圍内的每一範圍。 在說明範圍包括上下限中之一去 J 者或兩者之情况下,亦包 括排除彼等上下限中之一者赤心土 _ 有或兩者之範圍。 所使用的,除非上下文 「一」及「該」包括複 如本文及附加申請專利範圍中 另外清楚地規定,否則單數形式 28 201234461 數對象。因此,例如「一邀您 ^ ρι 裂耘」之弓丨用包括複數個此等 製程’且「該電極開孔之 」之弓丨用包括-或更多電極開孔 之引用及為熟習此項技術者所已知的等效形式等等。 又’當在此說明書及下文中請專利範圍中使用用語「包 含」及「包括」時,單詞「包含」及「包括」意欲指定 所述特徵結構、整體、部件或步驟之存在,但是單詞「包 含」及「包括」不排除一或更多其他特徵結構、整體二 部件、步驟、動作或群組之存在或添加。 【圖式簡單說明】 可藉由參閱說明書之剩餘部 >及β <來實現對本發明 之性質及優點的進-步理解,其中貫穿^干圖式所使用 之相同元件符號代表相同部件。在一些情況下子標號 與元件符號相關聯且跟隨有連字符以表示多個相同部件 中之一個。當引用元件符號而未指明存在子標號時,引 用之元件符號意欲指全部此類多個相同部件。 第1圖圖示根據本發明之實施例之包括具有CCF,單元 及噴淋頭之處理腔室之處理系統的簡化橫截面圖; 第2圖圖示根據本發明之實施例之包括具有cep單元 及噴淋頭之處理腔室之處理系統的簡化透视圖; 第3圖圖示根據本發明之實施例之一對氣體混合物穿 過處理系統之氣流路徑之簡化示意圖; 第4圖圖示包括具有噴淋頭之處理腔室之處理系統的 簡化橫截面圖,喷淋頭亦作為離子抑制元件; 29 201234461 、,第5圖圖示根據本發明之實施例之包括具有離子抑制 :板之處理腔室之處理系統的簡化橫截面圖,離子抑制 平板自氣體反應區域分隔電漿區域; 1 第6Λ圖圖示根據本發明之實施例之離子抑制元件 簡化透視圖; 第6B 示根據本發明之實施例之亦作為離子抑制 元件之喷淋頭的簡化透視圖; 第7A圖圖不根據本發明之實施例之用於離子抑制元 件中之開孔的一些示例性孔幾何形狀; 第7B圖圖不根據本發明之實施例之孔幾何形狀開孔 之不意圖; 之實施例之幫助界定處理腔室 中之相對開孔的示例性配置。 第8圖圖示根據本發明 中之電漿區域之一對電極 【主要元件符號說明】 100 104 處理腔室 喷淋頭 102 106 電容耦合式電漿單元 蓋/熱電極 108 電絕緣體 110 離子抑制器 112 電漿激勵區域 114 流體供應系統 116 122 126 氣體入口 孔 第一組溝槽 120 124 130 氣體供應區域 活化氣體輸送區域 氣體反應區域 140 RF產生器/功率供應15〇 基座 305 方塊 310 方塊 315 方塊 320 方塊 330 方塊 335 方塊 400 處理系統 402 喷淋頭 402 氣源 404 RPS單元 406 處理腔室 408 氣體入口 410 孔 412 平板/氣體分配板/離子 201234461 414 418 422 426 502 506 510 514 518 522 602 622 626 704 710 714 720 724 726 728 732 736 738 804 808 820 824 828 830 834 氣體區域 基板 RF功率產生器 溝槽 第一氣源 處理腔室 孔 0 入 域體 區 氣 體板二 氣基第 電漿流出物通道 第一孔 倒角 較大ID區域 通孔 較小ID區域 通孔 錐形區域 較小ID區域 高度 第一 ID區域 第三ID區域736 錐形 底部平板 通孔 第一ID區域 第三ID區域 鄰接區域 較大ID區域 錐形區域 1 2 2 0 0 0 1 1 2 0 2200112223330012223 4 4 4 5 5 5 5 5 5 6 6677777777778888888 ΖΪΕ""月月月 一 · · t · 1G · - ·、—J pyr / > .45 - Γ-Ύτ *"1 爿3· J 4/ /. 抑氣平孔處Rp氣離離氣平離制喷第通較較較較電總通第出頂通電第錐鄰較 域 板區 平應 器反 制體臺 域 板區丨 平化件 0 ^ 元·^ 統元口制制應制!t 系單入抑抑反 抑板頭孔 理'S體子子體臺子平淋 板 平 抑 子 Li 0 孔小大大大聚高孔-
域域域域 區區區區 D D D D I I I I 度 域 區板 D D T I I平 口部孔漿二形接
域域 域Λ^ 生D域域DC 產^區區I 31

Claims (1)

  1. 201234461 七、申請專利範圍: 1. 一種基板處理系蜱,該基板處理系統包含: 電合搞σ式電聚(CCP)單元’該電容柄合式電聚(ccp)單 元定位於一處理腔室内部,其中該(:(:1)單元包含在一第 -電極與-第二電極之間形成之一電漿激勵區域,且其 中該第-電極包含複數個第一開孔以準許一第一氣體進 入該電漿激勵區域,且該第二電極包含複數個第二開孔 以準許一活化氣體離開該電漿激勵區域; -氣體入Π ’該氣體人口用於供應該第—氣體至該ccp單 元之該第一電極;及 一基座,該基座為可操作的以支撐一基板,其中該基座定 位於氣體反應區域下方,該活化氣體自該ccp單位十 月1J·進進入該氣體反應區域。 2. 如請求項i所述之系統,彡中該系統進—步包含—喷淋 頭’該嘴淋頭定位在該ccp單元之該第二電極與在該基 座上方的該氣體反應區域之間,其中該噴淋頭包含準許 該活化氣體至該氣體反應區域之流通之複數個第一喷淋 頭溝槽,I準許-第〔氣體至該氣體反應區域之流通之 複數個第二溝槽。 3. 如明求項2所述之系統,其中在該第二電極中之該複數 個第二開孔與該複數個第一喷淋頭溝槽同心地對準。 32 201234461 4.如請求項1所述之系統’其中該系統進_步包含定位在 該第一電極與該基座之間的一或更多第二氣體入口,其 中該第二氣體入口供應一第二氣體至該氣體反應區域。 5·如請求項1所述之系統,其中該系統進一步包含一遠端 電聚系統,該遠端電漿系統耦合至該氣體入口且可操作 的以經由該氣體入口激勵該第一氣體進入該處理腔室。 6. 如請求項1所述之系統’其中該活化氣體包含至少—個 反應性自由基。 7. —種基板處理系統,該基板處理系統包含: 一氣體入口,該氣體入口用於供應一第—氣體至一處理腔 室; 一電極’該電極包含複數個開孔; 一喷淋頭,該喷淋頭包含複數個第一溝槽及複數個第二溝 槽,該複數個第一溝槽準許在該處理腔室中一活化氣體 至一氣體反應區域之該流通,該複數個第二溝槽準許— 第一氣體至該軋體反應區域之流通,其中該活化氣體在 該電極與該喷淋頭之間的一電漿激勵區域中形成,該噴 淋頭亦作為一第二電極;及 一基座,該基座為可操作的以支撐一基板,其中該基座定 位於該氣體反應區域下方。 33 201234461 如請求項7所述之系統,其中在該噴淋頭中之該複數個 第一溝槽抑制該電漿激勵區域中之電漿進入該氣體反應 區域’同時準許該活化氣體穿過該噴淋頭。 9.如請求項7所述之系統,其中該系統進一步包含定位在 該喷淋頭與該基座之間的一或更多第二氣體入口,其中 該等第二氣體入口供應一第二氣體至該氣體反應區域。 10·如請求項7所述之系統’其中該系統進—步包含一遠端 電漿系統’該遠端電衆系統輕合至該氣體入口且可操作 的以經由該氣體人π激靜H體進人域理腔室。 U.一種基板處理系統’該基板處理系統包含: 氣體入口,該氣體入口用於 π瓦供應一第一氣體至一處理腔 室; 一電極,該電極包含複數個第一開孔; 一離子抑制器,該離子抑 于抑制盗包含具有複數個第二開孔之 一電性導電平板,該褶數钿 χ複數個第二開孔準許在該處理腔室 中一活化氣體至一氣體斤庙 …。 應區域之該流通,其中該活化 ,, 制盗之間的一電漿激勵區域中 形成;及 一基座,該基座為可操作的 ^ n 支撐—基板,其中該基座定 位於該氣體反應區域下方。 心 34 201234461 12.如請求堪 : 1所述之系統,其中該系統進—步包含定位 在該離子抑制器與該基座之間的一喷淋頌。 青求項11所述之系統,其中該系統進一步包含一遠 端電漿系統,該遠端電漿系統耦合至該氣體入口且可操 作的以經由該氣體a口激勵該第處理腔 室。 14.如請求項u所述之系統, 應器,該電功率供應器耦合 其中該功率供應器為可操作 一可調整偏置電壓來調整自 體反應區域之該活化氣體中 其中該系統包含一電功率供 至該電極及該離子抑制器, 的以在該離子抑制器中產生 該電漿激勵區域傳遞至該氣 之一離子濃度。 35
TW101101602A 2011-01-18 2012-01-16 Semiconductor processing system and methods using capacitively coupled plasma TW201234461A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161433633P 2011-01-18 2011-01-18
US13/251,663 US20120180954A1 (en) 2011-01-18 2011-10-03 Semiconductor processing system and methods using capacitively coupled plasma

Publications (1)

Publication Number Publication Date
TW201234461A true TW201234461A (en) 2012-08-16

Family

ID=46489871

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101101602A TW201234461A (en) 2011-01-18 2012-01-16 Semiconductor processing system and methods using capacitively coupled plasma

Country Status (6)

Country Link
US (2) US20120180954A1 (zh)
JP (1) JP2014510390A (zh)
KR (1) KR101697479B1 (zh)
CN (1) CN103329251A (zh)
TW (1) TW201234461A (zh)
WO (1) WO2012099681A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
TWI645443B (zh) * 2013-08-09 2018-12-21 日商東京威力科創股份有限公司 Plasma processing device and plasma processing method
TWI666678B (zh) * 2014-08-22 2019-07-21 美商應用材料股份有限公司 藉由單元胞電漿陣列的電漿均勻性控制
TWI787198B (zh) * 2016-10-04 2022-12-21 美商應用材料股份有限公司 具有改良輪廓的雙通道噴淋頭

Families Citing this family (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
KR101379701B1 (ko) * 2012-11-28 2014-04-01 한국표준과학연구원 기판 처리 장치 및 기판 처리 방법
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9536771B2 (en) * 2013-04-11 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gap fill self planarization on post EPI
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9502218B2 (en) * 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) * 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻系统
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
MX2018010985A (es) * 2016-03-17 2019-05-06 Jcu Corp Dispositivo generador de plasma.
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP7109902B2 (ja) 2017-10-26 2022-08-01 株式会社ジャパンディスプレイ 表示装置及びその製造方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR20200127263A (ko) * 2018-03-28 2020-11-10 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘의 원격 용량성 커플링 플라즈마 증착
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR102590963B1 (ko) * 2018-07-27 2023-10-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 이온 블로커를 갖는 원격 용량성 결합 플라즈마 소스
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11791181B2 (en) 2019-09-18 2023-10-17 Beijing E-Town Semiconductor Technology Co., Ltd Methods for the treatment of workpieces
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US20220130713A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber to accommodate parasitic plasma formation
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102618464B1 (ko) * 2021-11-10 2023-12-27 (주)티로보틱스 반도체 제조장치

Family Cites Families (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
JPS5775738U (zh) 1980-10-27 1982-05-11
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5686734A (en) 1993-01-22 1997-11-11 Canon Kabushiki Kaisha Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW297135B (zh) 1995-03-20 1997-02-01 Hitachi Ltd
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
KR100243446B1 (ko) * 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
EP1174912A4 (en) 1999-12-24 2009-11-25 Ebara Corp SEMICONDUCTOR DISC GENERATING APPARATUS AND MANUFACTURING METHOD
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
KR100458779B1 (ko) 2000-03-27 2004-12-03 미츠비시 쥬고교 가부시키가이샤 금속막의 제작방법 및 그 제작장치
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100423953B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
WO2004079781A2 (en) 2003-03-04 2004-09-16 Dow Corning Corporation Organic light-emitting diode
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004328825A (ja) 2003-04-22 2004-11-18 Tdk Corp Dc−dcコンバータ
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20090011150A1 (en) 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101019293B1 (ko) 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 원자층 증착 장치 및 방법
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
JP2010519773A (ja) 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
TWI645443B (zh) * 2013-08-09 2018-12-21 日商東京威力科創股份有限公司 Plasma processing device and plasma processing method
TWI666678B (zh) * 2014-08-22 2019-07-21 美商應用材料股份有限公司 藉由單元胞電漿陣列的電漿均勻性控制
TWI787198B (zh) * 2016-10-04 2022-12-21 美商應用材料股份有限公司 具有改良輪廓的雙通道噴淋頭

Also Published As

Publication number Publication date
KR101697479B1 (ko) 2017-01-18
WO2012099681A2 (en) 2012-07-26
CN103329251A (zh) 2013-09-25
JP2014510390A (ja) 2014-04-24
US20130153148A1 (en) 2013-06-20
US9144147B2 (en) 2015-09-22
US20120180954A1 (en) 2012-07-19
WO2012099681A3 (en) 2012-09-13
KR20140043721A (ko) 2014-04-10

Similar Documents

Publication Publication Date Title
TW201234461A (en) Semiconductor processing system and methods using capacitively coupled plasma
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
US10062578B2 (en) Methods for etch of metal and metal-oxide films
JP7180999B6 (ja) 複数の前駆体の流れのための半導体処理チャンバ
US9842744B2 (en) Methods for etch of SiN films
US20130082197A1 (en) Semiconductor processing system and methods using capacitively coupled plasma
TWI660420B (zh) 使用遠端電漿源之加強式蝕刻製程
TW201448041A (zh) 氮化鈦之選擇性移除