CN102859034B - 垂直直列cvd系统 - Google Patents

垂直直列cvd系统 Download PDF

Info

Publication number
CN102859034B
CN102859034B CN201180020889.4A CN201180020889A CN102859034B CN 102859034 B CN102859034 B CN 102859034B CN 201180020889 A CN201180020889 A CN 201180020889A CN 102859034 B CN102859034 B CN 102859034B
Authority
CN
China
Prior art keywords
chamber body
microwave antenna
coupled
microwave
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201180020889.4A
Other languages
English (en)
Other versions
CN102859034A (zh
Inventor
栗田真一
J·库德拉
S·安瓦尔
J·M·怀特
任东吉
H·沃尔夫
D·兹瓦罗
稻川真
I·莫里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102859034A publication Critical patent/CN102859034A/zh
Application granted granted Critical
Publication of CN102859034B publication Critical patent/CN102859034B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明大体上关于一种垂直CVD系统,所述CVD系统具有能够处理多个基板的处理腔室。尽管将所述多个基板安置于所述处理腔室内的处理源的相对侧上,但未使处理环境彼此隔离。所述处理源为水平居中的垂直等离子体发生器,所述垂直等离子体发生器允许在所述等离子体发生器的任一侧上同时但以彼此独立的方式处理多个基板。将所述系统配置为双系统,凭借所述双系统将各自具有它们自己的处理腔室的两个相同的处理线配置为彼此邻近。多个机器人用以从处理系统装载且卸载所述基板。每一个机器人可使用所述系统内的两个处理线。

Description

垂直直列CVD系统
发明背景
发明领域
本发明的实施例大体上关于一种垂直化学气相沉积(CVD)系统。
现有技术的描述
CVD为这样一种工艺,凭借所述工艺将化学前驱物引入处理腔室中、发生化学反应以形成预定化合物或材料,及将所述化学前驱物沉积于处理腔室内的基板上。存在若干种CVD工艺。一种CVD工艺为等离子体增强化学气相沉积(PECVD),凭借所述工艺在腔室中点燃等离子体以增强前驱物之间的反应。可通过使用感应耦合的等离子体源或电容耦合的等离子体源而完成PECVD。
CVD工艺可用以处理大面积基板,诸如平板显示器或太阳电池板。CVD可用以沉积多层,诸如用于晶体管的硅基膜。在此项技术中需要一种降低平板显示器装置的制造成本的方法及设备。
发明内容
本发明大体上关于一种垂直CVD系统,所述系统具有能够处理多个基板的处理腔室。尽管将所述多个基板安置于所述处理腔室内的处理源的相对侧上,但未使处理环境彼此隔离。所述处理源为水平居中心的垂直等离子体发生器,所述垂直等离子体发生器允许在所述等离子体发生器的任一侧上同时但以彼此独立的方式处理多个基板。将所述系统配置为双系统,凭借所述双系统,将各自具有它们自己的处理腔室的两个相同的处理线配置为彼此邻近。多个机器人用以从处理系统装载且卸载基板。每一个机器人可使用所述系统内的两个处理线。
在一个实施例中,一种设备包括:腔室主体;多个等离子体发生器;第一波导,耦合至每一个等离子体发生器的第一端;第二波导,耦合至每一个等离子体发生器的第二端;第一电源,耦合至所述第一波导,所述第一电源安置于所述腔室主体外;以及第二电源,耦合至所述第二波导。所述多个等离子体发生器水平位于所述腔室主体内的中心且在所述腔室主体内垂直延伸,以使得在所述腔室主体内保留足够的空间用于在所述多个等离子体发生器的相对侧上处理的一个或多个基板。每一个等离子体发生器具有第一端,所述第一端邻近于所述腔室主体的底部;以及第二端,所述第二端邻近于所述腔室主体的顶部。第二电源安置于所述腔室主体外。第二电源以交错图案进行共同配置,以使得邻近的第二波导沿相反方向从等离子体发生器延伸至相应的第二电源。
在另一个实施例中,一种设备包括:腔室主体;多个等离子体发生器;第一波导,耦合至每一个等离子体发生器的第一端;第二波导,耦合至每一个等离子体发生器的第二端;第一电源,耦合至所述第一波导,所述第一电源安置于所述腔室主体外;以及第二电源,耦合至所述第二波导。所述多个等离子体发生器水平位于所述腔室主体内的中心且在所述腔室主体内垂直延伸,以使得在所述腔室主体内保留足够的空间用于在所述多个等离子体发生器的相对侧上处理的一个或多个基板。每一个等离子体发生器具有第一端,所述第一端邻近于所述腔室主体的底部;以及第二端,所述第二端邻近于所述腔室主体的顶部。第二电源安置于所述腔室主体外。第二电源以一图案进行共同配置,以使得邻近的第二波导沿相同方向从等离子体发生器延伸至相应的第二电源。
在另一个实施例中,一种设备包括:腔室主体;多个等离子体发生器;第一倾斜波导,耦合至每一个等离子体发生器的第一端;第二倾斜波导,耦合至每一个等离子体发生器的第二端;第一电源,耦合至所述第一波导,所述第一电源安置于所述腔室主体外;以及第二电源,耦合至所述第二波导。所述多个等离子体发生器水平位于所述腔室主体内的中心且在所述腔室主体内垂直延伸,以使得在所述腔室主体内保留足够的空间用于在所述多个等离子体发生器的相对侧上处理的一个或多个基板。每一个等离子体发生器具有第一端,所述第一端邻近于所述腔室主体的底部;以及第二端,所述第二端邻近于所述腔室主体的顶部。第二电源安置于所述腔室主体外。第二电源以交错图案进行共同配置,以使得每一个第二波导沿所述腔室主体的一侧及沿所述腔室主体的一顶壁向上延伸至每一个等离子体发生器的所述第一端。
附图简述
因此,可详细理解本发明的上述特征结构的方式,即上文简要概述的本发明的更特定描述可参照实施例进行,其中一些实施例示于附图中。然而,应注意,附图仅示出本发明的典型实施例,且因此不会被视为限制本发明的范围,因为本发明可允许其它同等有效的实施例。
图1为根据一个实施例的处理系统的示意图。
图2为图1的处理系统的示意俯视图。
图3为图1的处理系统的示意侧视图。
图4为图1的处理腔室的近视图。
图5为图1的处理系统的示意后视图。
图6A为图1的处理腔室的示意横截面图。
图6B为图1的处理腔室的部分侧视图。
图7为用于图1的处理系统的抽空系统的图解说明。
图8为图1的处理腔室的等距视图。
图9为用于图1的处理系统的基板定序的俯视图解说明。
图10A-10C为图1的处理腔室的示意图。
图11A及11B为根据另一个实施例的处理腔室的示意图。
图12A及12B为根据另一个实施例的处理腔室的示意图。
图13A及13B为根据另一个实施例的处理腔室的示意图。
为促进理解,在可能的情况下已使用相同标记来指定为附图所共享的相同元件。预期可将一个实施例的元件及特征结构有益地并入未进一步叙述的其它实施例中。
详细描述
本发明大体上关于一种垂直CVD系统,所述系统具有能够处理多个基板的处理腔室。尽管将所述多个基板安置于所述处理腔室内的处理源的相对侧上,但未使处理环境彼此隔离。所述处理源为水平居中的垂直等离子体发生器,所述垂直等离子体发生器允许在所述等离子体发生器的任一侧上同时但以彼此独立的方式处理多个基板。将所述系统配置为双系统,凭借所述双系统,将各自具有它们自己的处理腔室的两个相同处理线配置为彼此邻近。多个机器人用以从处理系统装载且卸载基板。每一个机器人可使用所述系统内的两个处理线。
水平居中的垂直等离子体发生器为具有在处理腔室内垂直的等离子体源的等离子体发生器。应理解,由于垂直,所以等离子体源从接近或处于腔室底部的第一端延伸至接近或处于腔室顶部的第二端。应理解,由于水平居中,所以等离子体源等间隔地介于处理腔室的两个壁或两个端之间。
可在从加利福尼Santa Clara的应用材料公司获得的改进的AKT Aristo系统中通过使用垂直CVD腔室来实施本文所论述的实施例。应理解,也可在其它系统(包括由其它制造商出售的那些系统)中实施实施例。
图1为根据一个实施例的垂直、线性CVD系统100的示意图。系统100可经设置尺寸以在沉积2,000埃厚度的氮化硅膜时处理具有大于约90,000mm2的表面积的基板,且能够每小时处理大于90个基板。系统100较佳包括两个分离的处理线114A、114B,所述处理线114A、114B通过公共系统控制平台112耦合在一起以形成双处理线配置/布局。公共电源(诸如,交流电源)、公共及/或共享泵送及排气组件和公共气体面板可用于双处理线114A、114B。对每小时大于90个基板的系统总量而言,每一个处理线114A、114B每小时可处理大于45个基板。也预期,可使用单个处理线或大于两个处理线来配置系统。
用于垂直基板处理的双处理线114A、114B存在若干益处。因为腔室是垂直配置的,所以系统100的占位大约与单个、常规水平处理线相同。因此,在大致相同的占位内,存在两个处理线114A、114B,这有益于制造商在半导体代工厂中保存占地面积。为帮助理解术语“垂直”的含义,考虑平板显示器。诸如计算机监视器之类的平板显示器具有长度、宽度及厚度。当平板显示器为垂直时,长度或宽度从地平面垂直延伸,而厚度平行于地平面。相反地,当平板显示器为水平时,长度与宽度都平行于地平面,而厚度垂直于地平面。对大面积的基板而言,基板的长度及宽度比基板的厚度大许多倍。
每一个处理线114A、114B包括基板堆栈模块102A、102B,从基板堆栈模块102A、102B取回新的基板(即,尚未在系统100内处理的基板)且存储经处理的基板。大气机器人104A、104B从基板堆栈模块102A、102B取回基板且将基板置放于双基板装载站106A、106B中。应理解,尽管基板堆栈模块102A、102B图示为具有沿水平定向堆栈的基板,但安置于基板堆栈模块102A、102B中的基板可类似于基板在双基板装载站106A、106B中所保持的方式沿垂直定向维持。随后,将新的基板移入双基板装载锁定腔室108A、108B中,且随后移动至双基板处理腔室1010A、1010B。随后,现在处理的基板穿过双基板装载锁定腔室108A、108B中的一个而返回至双基板装载站106A、106B中的一个,其中所述基板由大气机器人104A、104B中的一个取回且返回至基板堆栈模块102A、102B中的一个。
图2为图1的实施例的平面图。将同时就两个处理线114A、114B来论述顺序,即便基板仅沿一个路径下降。每一个机器人104A、104B可沿公共轨道202移动。如将在下文所论述地,每一个机器人104A、104B可使用两个基板装载站106A、106B。有时候,用以经由处理线114A、114B输送基板的基板载体将需要维护用于修理、清洁或替换。因此,基板载体维护站204A、204B沿与装载锁定腔室108A、108B相对的处理线114A、114B耦合至处理腔室110A、110B。
为抽空装载锁定腔室108A、108B以及处理腔室110A、110B,一个或多个真空泵206可耦合至装载锁定腔室108A、108B及处理腔室110A、110B。为抽空装载锁定腔室108A、108B,真空泵206从耦合至两个装载锁定腔室106A、106B的抽空线210抽出真空。为抽空处理腔室110A、110B,抽空线212、214、216、218、220、222、224、226耦合至处理腔室110A、110B。将在下文参考图7进一步论述装载锁定腔室108A、108B及处理腔室110A、110B的抽空。
图3为系统100的侧视图。在操作期间,处理腔室110A、110B的温度可升高,且因此经受热膨胀。类似地,具有升高温度的基板可从处理腔室110A、110B进入装载锁定腔室108A、108B,这可使装载锁定腔室108A、108B经历热膨胀。为补偿装载锁定腔室108A、108B的热膨胀,装载锁定腔室108A、108B可具有端302,端302邻近于处理腔室110A、110B固定但允许装载锁定腔室108A、108B的剩余物以及邻近的基板装载站106A、106B在由箭头“A”所示的方向上移动。类似地,处理腔室110A、110B可具有端304,端304邻近于装载锁定腔室108A、108B固定,而处理腔室110A、110B的另一端以及基板载体维护站204A、204B可由于热膨胀在由箭头“B”所示的方向上移动。当处理腔室110A、110B因热膨胀而膨胀时,基板载体维护站204A、204B也移动以允许处理腔室110A、110B膨胀。若当处理腔室110A、110B膨胀时基板载体维护站204A、204B未移动,则在炎热的夏日处理线114A、114B可非常类似铁路轨道地弯曲。类似地,当装载锁定腔室108A、108B膨胀时,基板装载站106A、106B也移动以允许装载锁定腔室108A、108B膨胀。
图4为图示允许处理腔室110B因热膨胀而移动的装备的处理腔室110B的近视图。应理解,尽管参考处理腔室110B进行描述,但所述描述将同样地适用于装载锁定腔室108B。将处理腔室110B安置于框架402上。处理腔室110B的端304具有固定点404及可沿安置于框架402上的一块低摩擦材料408移动的底座部分406。可用于低摩擦材料408的合适材料包括聚四氟乙烯。将理解,还预期其它低摩擦材料。将理解,两个基板载体维护站204A、204B以及基板装载站106A、106B将具有安置于框架上的底座部分,所述框架具有低摩擦材料以允许基板载体维护站204A、204B以及基板装载站106A、106B移动。
图5为图示抽空系统的处理系统100的后视图。图6A及6B为图示用于将真空系统连接至处理腔室110B的抽空位置的处理腔室110B的俯视图及部分侧视图。抽空线212、214、216、218、220、222、224、226各自具有随后耦合至分离器导管504A-504D  的垂直导管502A-502D。每一个分离器导管504A-504D具有耦合至处理腔室110A、110B的两个连接点506A-506H。因此,对每一个处理腔室110A、110B的每一侧而言存在四个连接点。
图6A示出用于处理腔室110B的连接点602A-602D。处理腔室110B图示为具有两个基板载体604A、604B,在所述基板载体604A、604B上各自具有基板606A、606B。等离子体发生器608与气体引入导管610一样位于中心。等离子体发生器608为针对CVD在处理腔室110A、110B内产生等离子体的微波源。电源614为等离子体发生器608供电。如图6B所示,连接点602A、602I安置于腔室盖612的角落附近。因为连接点602A-602D安置于处理腔室110B的角落附近,所以可在腔室110B的所有区域中大体上均匀地抽空处理腔室110B。若仅使用一个抽空点,则与更远的位置相比在抽空点附近可存在更大的真空。预期,可能存在其它抽空连接,包括额外连接。
图7为根据一个实施例的抽空系统700的图解说明。每一个处理腔室110A、110B可具有若干个真空泵702A-702H,而非单个真空泵。每一个垂直线502A-502H在耦合至连接点602A-602P之前分裂成分离器导管504A-504H。节流阀704可定位于连接点602A-602P与分离器导管504A-504H之间,以控制各个处理腔室110A、110B的真空度。将理解,抽空系统700适用于具有较少真空泵的系统。若耦合至处理腔室的真空泵中的一个不工作,则耦合至处理腔室的其它真空泵可能补偿不工作的泵,以使处理腔室可维持预定的真空度。
装载锁定腔室108A、108B可由耦合至装载锁定腔室108A、108B的连接点708A、708B的公共真空泵706抽空。双向阀710可存在于真空泵706与连接点708A、708B之间,以控制装载锁定腔室108A、108B的真空度。
图8为与处理腔室110B间隔开的腔室盖612的侧面透视图。为维护处理腔室110B,盖612可如箭头“C”所示通过在点802A、802B处使垂直导管502A、502E与抽空线224、226断开连接而加以移动。因此,在不必拆卸整个抽空系统700或移动大量、重型系统组件的情况下,可移动盖612。可通过使用诸如起重机或液压升降机之类的移动装置使盖612滑动离开处理腔室110B来移动盖612。
图9图示机器人104A、104B从基板堆栈模块102A、102B移除基板906将基板906置放于基板装载站环境902A-902D中的顺序。基板装载站106A、106B图示为具有两个分离环境902A-902D。在每一个环境中,基板载体904面向不同的方向。因此,当基板906安置于基板装载站环境902A-902D内时,基板906由每一个分离基板装载站106A、106B内的载体904间隔开。
机器人104A从基板堆栈模块102A取回基板906且沿轨道202移动以将基板906置放于环境902B或902D中。当机器人104A将基板906置放于环境902B、902D中时,基板906置放于载体904上,以使得基板906面向离开载体904的箭头“E”的方向。类似地,机器人104B从基板堆栈模块102B取回基板906且沿轨道202移动以将基板906置放于环境902A或环境902C中。当机器人104B将基板906置放于环境902A、902C中时,基板906置放于载体904上,以使得基板906面向离开载体904的箭头“D”的方向。因此,两个机器人104A、104B都可使用相同的基板装载站106A、106B且沿相同的轨道202移动。然而,每一个机器人104A、104B使用基板装载站106A、106B的分离环境902A-902D且仅可将基板906置放于面向特定方向的各个载体904上。
图10A-10C为根据一个实施例的双处理腔室110A、110B的示意图。双处理腔室110A、110B包括以线性配置安置于每一个处理腔室110A、110B的中心的多个微波天线1010。天线1010从处理腔室的顶部垂直延伸至处理腔室的底部。每一个微波天线1010在耦合至微波天线1010的处理腔室的顶部与底部都具有相应的微波功率头1012。如图10B中所示,微波功率头1012为交错的。所述交错可归因于空间限制。可经由每一个功率头1012将功率独立地施加于天线1010的每一端。微波天线1010可在300MHz及300GHz的范围内的频率下操作。
处理腔室的每一个经配置以能够处理两个基板,在微波天线1010的每一侧上有一个基板。基板通过压板1008及遮蔽框架1004保持在处理腔室中的适当位置。气体引入管1014安置于邻近的微波天线1010之间。气体引入管1014从平行于微波天线1010的处理腔室的底部垂直延伸至顶部。气体引入管1014允许引入诸如硅前驱物及氮前驱物的处理气体。尽管未图示于图10A-10C中,但可经由位于基板载体1008之后的泵送口来抽空处理腔室110A、110B。
图11A及11B为根据另一个实施例的处理腔室1100的示意图。处理腔室1100包括诸如微波天线之类的多个等离子体发生器,所述多个等离子体发生器在腔室主体内从第一端1108垂直延伸至第二端1118。处理腔室1100包括遮蔽框架1104,所述遮蔽框架1104位于等离子体发生器1102的每一侧上以用于处理基板。如图11B中所示,遮蔽框架1104安置于多个等离子体发生器1102的相对侧上,以便两个大面积的基板可在单个处理腔室1100内得以处理,且因此同时或连续地暴露于相同的处理环境。
每一个等离子体发生器1102在所述等离子体发生器1102的第一端1108处耦合至第一波导1110,且在所述等离子体发生器1102的第二端1118处耦合至第二波导1116。每一个第一波导1110耦合至第一电源1112,而每一个第二波导则耦合至第二电源1114。电源1112、1114可耦合至封壳1106内的波导1110、1116。如最佳在图11B中可见,封壳1106为交错的“T”形封壳。由于空间限制,交错的“T”形封壳可为必需的。在此配置中,邻近的波导1110、1116以相反、平行的方向从端1108、1118延伸至各个电源1112、1114。气体引入管也可以上文关于图10C所论述的方式安置于处理腔室1100内。
图12A及12B为根据另一个实施例的处理腔室1200的示意图。处理腔室1200包括诸如微波天线之类的多个等离子体发生器,所述多个等离子体发生器在腔室主体内从第一端1208垂直延伸至第二端1218。处理腔室1200包括遮蔽框架1204,所述遮蔽框架1204位于等离子体发生器1202的每一侧上以用于处理基板。如图12B中所示,遮蔽框架1204安置于多个等离子体发生器1202的相对侧上,以便两个大面积的基板可在单个处理腔室1200内得以处理,且因此同时或连续地暴露于相同的处理环境。
每一个等离子体发生器1202在所述等离子体发生器1202的第一端1208处耦合至第一波导1210,且在所述等离子体发生器1202的第二端1218处耦合至第二波导1216。每一个第一波导1210耦合至第一电源1212,而每一个第二波导耦合至第二电源1214。电源1212、1214可耦合至封壳1206内的波导1210、1216。如最佳在图12B中可见,封壳1206都从处理腔室1200的相同侧延伸。在此配置中,邻近的波导1210、1216以相同、平行的方向从端1208、1218延伸至各个电源1212、1214。气体引入管也可以上文关于图10C所论述的方式安置于处理腔室1200内。
图13A及13B为根据另一个实施例的处理腔室1300的示意图。处理腔室1300包括诸如微波天线之类的多个等离子体发生器,所述多个等离子体发生器在腔室主体内从第一端1308垂直延伸至第二端1318。处理腔室1300包括遮蔽框架1304,所述遮蔽框架1304位于等离子体发生器1302的每一侧上以用于处理基板。如图13B中所示,遮蔽框架1304安置于多个等离子体发生器1302的相对侧上,以便两个大面积的基板可在单个处理腔室1300内得以处理,且因此同时或连续地暴露于相同的处理环境。
每一个等离子体发生器1302在所述等离子体发生器1302的第一端1308处耦合至第一倾斜波导1310,且在所述等离子体发生器1302的第二端1318处耦合至第二倾斜波导1316。每一个第一倾斜波导1310耦合至第一电源1312,而每一个第二倾斜波导耦合至第二电源1314。封壳1306通过已从腔室侧面移除而图示于腔室1300的顶部及底部上,以便清楚地观察波导1310、1316。如最佳在图13B中可见,波导1310、1316沿处理腔室1300的顶部延伸,且沿处理腔室1300的侧面向下延伸至各个电源1312、1314。由于电源1312、1314相对于等离子体发生器1302的第一端1308及第二端1318的位置,波导1310、1316为倾斜的。气体引入管也可以上文关于图10C所论述的方式安置于处理腔室1300内。
通过使用垂直CVD系统,可同时处理多个基板。同时处理多个基板降低了制造成本,这可增加制造商的利润。
尽管上述内容针对本发明的实施例,但在不脱离本发明的基本范围的情况下,可设计本发明的其它及另外的实施例,且本发明的范围是由以下的权利要求书来决定的。

Claims (8)

1.一种设备,包括:
腔室主体;
多个微波天线,所述多个微波天线水平位于所述腔室主体内的中心且在所述腔室主体内垂直延伸,以使得在所述腔室主体内保留足够的空间用于在所述多个微波天线的相对侧上处理的一个或多个基板,每一个微波天线具有第一端和第二端,所述第一端邻近于所述腔室主体的底部,所述第二端邻近于所述腔室主体的顶部;
第一微波功率头,耦合至每一个微波天线的所述第一端;
第二微波功率头,耦合至每一个微波天线的所述第二端;
第一电源,耦合至每一个第一微波功率头,所述第一电源安置于所述腔室主体外;以及
第二电源,耦合至每一个第二微波功率头,所述第二电源安置于所述腔室主体外,所述第二电源以交错图案进行共同配置,以使得邻近的第二微波功率头沿相反方向从所述微波天线延伸至相应的第二电源。
2.一种设备,包括:
腔室主体;
多个微波天线,所述多个微波天线水平位于所述腔室主体内的中心且在所述腔室主体内垂直延伸,以使得在所述腔室主体内保留足够的空间用于在所述多个微波天线的相对侧上处理的一个或多个基板,每一个微波天线具有第一端和第二端,所述第一端邻近于所述腔室主体的底部,所述第二端邻近于所述腔室主体的顶部;
第一微波功率头,耦合至每一个微波天线的所述第一端;
第二微波功率头,耦合至每一个微波天线的所述第二端;
第一电源,耦合至每一个第一微波功率头,所述第一电源安置于所述腔室主体外;以及
第二电源,耦合至每一个第二微波功率头,所述第二电源安置于所述腔室主体外,所述第二电源以一图案进行共同配置,以使得邻近的第二微波功率头沿相同方向从所述微波天线延伸至相应的第二电源。
3.一种设备,包括:
腔室主体;
多个微波天线,所述多个微波天线水平位于所述腔室主体内的中心且在所述腔室主体内垂直延伸,以使得在所述腔室主体内保留足够的空间用于在所述多个微波天线的相对侧上处理的一个或多个基板,每一个微波天线具有第一端和第二端,所述第一端邻近于所述腔室主体的底部,所述第二端邻近于所述腔室主体的顶部;
第一倾斜微波功率头,耦合至每一个微波天线的所述第一端;
第二倾斜微波功率头,耦合至每一个微波天线的所述第二端;
第一电源,耦合至每一个第一微波功率头,所述第一电源安置于所述腔室主体外;以及
第二电源,耦合至每一个第二微波功率头,所述第二电源安置于所述腔室主体外,所述第二电源以交错图案进行共同配置,以使得每一个第二微波功率头沿所述腔室主体的侧面及沿所述腔室主体的顶壁向上延伸至每一个微波天线的所述第一端。
4.如权利要求1或权利要求2或权利要求3所述的设备,其特征在于进一步包括多个气体引入管,所述多个气体引入管安置于所述腔室主体内且邻近于所述多个微波天线。
5.如权利要求4所述的设备,其特征在于,所述腔室主体包括一个或多个盖,所述一个或多个盖可移除以使用所述多个微波天线,其中每一个盖具有延伸穿过所述盖的多个开口。
6.如权利要求5所述的设备,其特征在于进一步包括一个或多个真空泵,所述一个或多个真空泵与所述腔室主体耦合,以使得所述腔室主体可经由延伸穿过每一个盖的所述多个开口得以抽空。
7.如权利要求6所述的设备,其特征在于,所述腔室主体安置于框架上,且其中所述腔室主体具有第一端,所述第一端固定至所述框架。
8.如权利要求7所述的设备,其特征在于进一步包括安置在所述框架上的聚四氟乙烯元件,且其中所述腔室主体具有第二端,所述第二端安置于所述聚四氟乙烯元件上且可沿所述聚四氟乙烯元件移动。
CN201180020889.4A 2010-04-30 2011-04-29 垂直直列cvd系统 Expired - Fee Related CN102859034B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US33029610P 2010-04-30 2010-04-30
US61/330,296 2010-04-30
US35423010P 2010-06-13 2010-06-13
US61/354,230 2010-06-13
US41653210P 2010-11-23 2010-11-23
US61/416,532 2010-11-23
PCT/US2011/034623 WO2011137373A2 (en) 2010-04-30 2011-04-29 Vertical inline cvd system

Publications (2)

Publication Number Publication Date
CN102859034A CN102859034A (zh) 2013-01-02
CN102859034B true CN102859034B (zh) 2015-04-29

Family

ID=44862144

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180020889.4A Expired - Fee Related CN102859034B (zh) 2010-04-30 2011-04-29 垂直直列cvd系统
CN2011800216142A Pending CN102859655A (zh) 2010-04-30 2011-04-29 垂直直列cvd系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2011800216142A Pending CN102859655A (zh) 2010-04-30 2011-04-29 垂直直列cvd系统

Country Status (6)

Country Link
US (2) US9922854B2 (zh)
JP (2) JP5903429B2 (zh)
KR (2) KR101796656B1 (zh)
CN (2) CN102859034B (zh)
TW (2) TWI544107B (zh)
WO (2) WO2011137371A2 (zh)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102859034B (zh) * 2010-04-30 2015-04-29 应用材料公司 垂直直列cvd系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644268B2 (en) * 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9820372B2 (en) 2012-01-27 2017-11-14 Applied Materials, Inc. Segmented antenna assembly
US20130206068A1 (en) * 2012-02-13 2013-08-15 Jozef Kudela Linear pecvd apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI582028B (zh) * 2014-01-03 2017-05-11 緯創資通股份有限公司 導引組裝料件系統及其導引料件台
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2017515301A (ja) * 2014-05-09 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護カバーを有する基板キャリアシステム
WO2015171207A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN104195629A (zh) * 2014-08-20 2014-12-10 中国科学院半导体研究所 塔式多片外延生长装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US10039219B1 (en) 2015-09-28 2018-07-31 Western Digital Technologies, Inc. Method and devices for picking and placing workpieces into devices under manufacture using dual robots
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TWI588929B (zh) * 2016-08-01 2017-06-21 矽品精密工業股份有限公司 承載設備及其操作方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN109563609B (zh) * 2017-07-24 2021-04-13 应用材料公司 用于在真空腔室中处理基板的设备与系统和在真空腔室中运输载体的方法
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048818A (zh) * 2017-12-18 2018-05-18 德淮半导体有限公司 化学气相沉积装置及其使用方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11694913B2 (en) * 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US20210130952A1 (en) * 2019-02-28 2021-05-06 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming apparatus
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11538706B2 (en) 2019-05-24 2022-12-27 Applied Materials, Inc. System and method for aligning a mask with a substrate
US11189516B2 (en) 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN110331378B (zh) * 2019-07-18 2024-01-19 中国科学院金属研究所 金刚石薄膜连续制备使用的hfcvd设备及其镀膜方法
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7433449B2 (ja) * 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4274936A (en) * 1979-04-30 1981-06-23 Advanced Coating Technology, Inc. Vacuum deposition system and method
US4410558A (en) * 1980-05-19 1983-10-18 Energy Conversion Devices, Inc. Continuous amorphous solar cell production system
US4423701A (en) * 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US4474659A (en) * 1982-05-28 1984-10-02 Fazal Fazlin Plated-through-hole method
US4576830A (en) * 1984-11-05 1986-03-18 Chronar Corp. Deposition of materials
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
DE3750115T2 (de) 1986-10-20 1995-01-19 Hitachi Ltd Plasmabearbeitungsgerät.
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
DE4029905C2 (de) * 1990-09-21 1993-10-28 Leybold Ag Vorrichtung für den Transport von Substraten
EP0577766B1 (en) * 1991-04-04 1999-12-29 Seagate Technology, Inc. Apparatus and method for high throughput sputtering
US5397737A (en) * 1992-05-05 1995-03-14 The United States Of America As Represented By The United States Department Of Energy Deposition of device quality low H content, amorphous silicon films
US5776819A (en) * 1992-05-05 1998-07-07 Midwest Research Institute Deposition of device quality, low hydrogen content, amorphous silicon films by hot filament technique using "safe" silicon source gas
US6124186A (en) * 1992-05-05 2000-09-26 Midwest Research Institute Deposition of device quality, low hydrogen content, hydrogenated amorphous silicon at high deposition rates with increased stability using the hot wire filament technique
JP3175333B2 (ja) * 1992-06-15 2001-06-11 日新電機株式会社 基板処理装置
EP0578047B1 (en) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07106094A (ja) 1993-10-04 1995-04-21 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
JPH07161492A (ja) * 1993-12-06 1995-06-23 Daido Steel Co Ltd マイクロ波プラズマ処理装置
DE4428136A1 (de) * 1994-08-09 1996-02-15 Leybold Ag Vakuum-Beschichtungsanlage
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
JPH08138889A (ja) * 1994-09-16 1996-05-31 Daihen Corp プラズマ処理装置
DE19503205C1 (de) 1995-02-02 1996-07-11 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3754742B2 (ja) * 1996-02-16 2006-03-15 キヤノン株式会社 光起電力素子の作製装置
DE19631407B4 (de) * 1996-08-05 2006-05-04 Unaxis Deutschland Holding Gmbh Vorrichtung zur plasmachemischen Abscheidung von polykristallinem Diamant
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
DE19739894C2 (de) 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6251183B1 (en) * 1998-05-21 2001-06-26 Midwest Research Institute Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process
DE19824077A1 (de) * 1998-05-29 1999-12-02 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
DE19841777C1 (de) * 1998-09-12 2000-01-05 Fraunhofer Ges Forschung Vorrichtung zur plasmatechnischen Abscheidung von polykristallinem Diamant
EP0995812A1 (en) * 1998-10-13 2000-04-26 Vacumetal B.V. Apparatus for flow-line treatment of articles in an artificial medium
AU3076100A (en) * 1999-01-22 2000-08-07 Toyo Kohan Co. Ltd. Microwave plasma cvd apparatus
US6186090B1 (en) * 1999-03-04 2001-02-13 Energy Conversion Devices, Inc. Apparatus for the simultaneous deposition by physical vapor deposition and chemical vapor deposition and method therefor
JP4017796B2 (ja) * 1999-10-26 2007-12-05 株式会社アルバック プラズマ処理装置
JP4448586B2 (ja) * 2000-01-05 2010-04-14 株式会社アルバック 大口径カーボンナノチューブ薄膜形成プラズマcvd装置及び該薄膜の形成方法
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20040020432A1 (en) * 2000-05-17 2004-02-05 Tomoko Takagi Plasma cvd apparatus and method
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
DE10114115A1 (de) 2001-03-22 2002-10-02 Muegge Electronic Gmbh Anordnung zur Erzeugung von Plasma in einem Behandlungsraum
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
JP4564213B2 (ja) 2001-09-14 2010-10-20 三井造船株式会社 プラズマ生成用アンテナ及びcvd装置
JP4020679B2 (ja) * 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
JP2004055614A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP4120546B2 (ja) * 2002-10-04 2008-07-16 株式会社Ihi 薄膜形成方法及び装置並びに太陽電池の製造方法及び装置並びに太陽電池
TWI262165B (en) * 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
JP4087233B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
JP4417734B2 (ja) * 2004-01-20 2010-02-17 株式会社アルバック インライン式真空処理装置
DE102004008598B4 (de) * 2004-02-21 2006-12-28 Applied Films Gmbh & Co. Kg Verfahren für den Betrieb einer Inline-Beschichtungsanlage
US20050183665A1 (en) * 2004-02-24 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4471708B2 (ja) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 基板搬送装置
JP2005340425A (ja) * 2004-05-26 2005-12-08 Ulvac Japan Ltd 真空処理装置
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7267319B2 (en) * 2004-11-09 2007-09-11 General Electric Company Low-friction slide-plates for rotary machines
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
KR100667866B1 (ko) * 2004-12-22 2007-01-12 한국기계연구원 리튬이차전지 음극재료용 복합분말 및 그 제조방법과 이를이용한 음극재료
US20060177288A1 (en) 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP2006278643A (ja) * 2005-03-29 2006-10-12 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置及びプラズマ処理方法
KR100667886B1 (ko) * 2005-07-01 2007-01-11 주식회사 에스에프에이 인라인 스퍼터링 시스템
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US20070095281A1 (en) * 2005-11-01 2007-05-03 Stowell Michael W System and method for power function ramping of microwave liner discharge sources
KR101225312B1 (ko) * 2005-12-16 2013-01-22 엘지디스플레이 주식회사 프로세스 장치
DE102006048814B4 (de) * 2006-10-16 2014-01-16 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Plasmadichte
DE102006048815B4 (de) * 2006-10-16 2016-03-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Leistung
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080127887A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Vertically mounted rotary cathodes in sputtering system on elevated rails
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
BRPI0806833A2 (pt) * 2007-02-01 2014-05-13 Willard & Kelsey Solar Group Llc Sistema e método para revestir material semicondutor em lâminas de vidro, e, lâmina de vidro revestida de semicondutor.
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR101545525B1 (ko) * 2007-07-12 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 에지 구역을 프로세싱하기 위한 장치 및 방법
JP5058084B2 (ja) * 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP2009094242A (ja) * 2007-10-05 2009-04-30 Ebatekku:Kk 基板保持機構、基板受渡機構、及び基板処理装置
JP2009105081A (ja) * 2007-10-19 2009-05-14 Ebatekku:Kk 基板処理装置
JP5307383B2 (ja) 2007-11-26 2013-10-02 株式会社アルバック 真空処理装置
US8677925B2 (en) * 2007-12-14 2014-03-25 Ulvac, Inc. Chamber and film forming apparatus
US20110076420A1 (en) * 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20110189384A1 (en) * 2008-06-06 2011-08-04 Ulvac, Inc. Thin-film solar cell manufacturing apparatus
US20110100297A1 (en) * 2008-06-06 2011-05-05 Ulvac, Inc. Thin-film solar cell manufacturing apparatus
JP5657527B2 (ja) * 2008-06-09 2015-01-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板をコーティングするためのコーティングシステム及び方法
US20090324368A1 (en) * 2008-06-27 2009-12-31 Applied Materials, Inc. Processing system and method of operating a processing system
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
TW201129713A (en) * 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
JP2011119396A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 薄膜太陽電池製造装置
CN102859034B (zh) * 2010-04-30 2015-04-29 应用材料公司 垂直直列cvd系统
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor

Also Published As

Publication number Publication date
JP2013526067A (ja) 2013-06-20
JP2013527609A (ja) 2013-06-27
TWI544107B (zh) 2016-08-01
CN102859034A (zh) 2013-01-02
US9324597B2 (en) 2016-04-26
US9922854B2 (en) 2018-03-20
TW201202472A (en) 2012-01-16
CN102859655A (zh) 2013-01-02
TW201204865A (en) 2012-02-01
KR20130062942A (ko) 2013-06-13
KR101796656B1 (ko) 2017-11-13
WO2011137373A4 (en) 2012-03-15
WO2011137371A2 (en) 2011-11-03
US20120031333A1 (en) 2012-02-09
WO2011137371A3 (en) 2012-03-01
WO2011137373A3 (en) 2012-02-09
KR101932578B1 (ko) 2018-12-28
KR20130057441A (ko) 2013-05-31
TWI551718B (zh) 2016-10-01
US20120031335A1 (en) 2012-02-09
JP5903429B2 (ja) 2016-04-13
WO2011137373A2 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
CN102859034B (zh) 垂直直列cvd系统
KR100854142B1 (ko) 로드 로크 장치 및 기판 처리 시스템
KR101120497B1 (ko) 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
JP2001135704A (ja) 基板処理装置及び基板搬送用トレイの搬送制御方法
CN111354657B (zh) 半导体多站处理腔体
JP2014093489A (ja) 基板処理装置
US20180076075A1 (en) Semiconductor process equipment
TW202029390A (zh) 真空處理裝置及基板搬送方法
KR101321331B1 (ko) 태양전지용 박막 증착 시스템
CN107615446B (zh) 处理系统
KR20070015759A (ko) 평판표시소자 제조장치
KR20140140462A (ko) 원자층 증착 장치
KR101039231B1 (ko) 기판 제조 장치
KR100920420B1 (ko) 평판표시소자 제조장치
KR100905394B1 (ko) 평판표시소자 제조장치
KR20120055355A (ko) 인라인 스퍼터링 시스템
KR100934765B1 (ko) 평판표시소자 제조장치
US20230085987A1 (en) Linear arrangement for substrate processing tools
KR100920419B1 (ko) 평판표시소자 제조장치
KR100940303B1 (ko) 평판표시소자 제조장치
KR100920383B1 (ko) 평판표시소자 제조장치
KR100560956B1 (ko) 평판표시소자 제조장치
KR20110030528A (ko) 기판 제조 장치
KR101505532B1 (ko) 기판 냉각 유닛 및 이를 포함하는 기판 처리 설비
KR20130058253A (ko) 기판처리시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150429

Termination date: 20200429