KR20130057441A - 수직 인라인 화학기상증착 시스템 - Google Patents

수직 인라인 화학기상증착 시스템 Download PDF

Info

Publication number
KR20130057441A
KR20130057441A KR1020127031556A KR20127031556A KR20130057441A KR 20130057441 A KR20130057441 A KR 20130057441A KR 1020127031556 A KR1020127031556 A KR 1020127031556A KR 20127031556 A KR20127031556 A KR 20127031556A KR 20130057441 A KR20130057441 A KR 20130057441A
Authority
KR
South Korea
Prior art keywords
chamber body
waveguide
coupled
processing
plasma
Prior art date
Application number
KR1020127031556A
Other languages
English (en)
Other versions
KR101796656B1 (ko
Inventor
시니치 쿠리타
요제프 쿠델라
수하일 안와르
존 엠. 화이트
동-길 임
한스 울프
데니스 즈발로
마코토 이나가와
이쿠오 모리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130057441A publication Critical patent/KR20130057441A/ko
Application granted granted Critical
Publication of KR101796656B1 publication Critical patent/KR101796656B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본원 발명의 실시예는 일반적으로 수직 화학기상증착(CVD) 시스템에 관한 것이다. 복수의 기판들이 프로세싱 챔버 내에서 프로세싱 소오스의 대향 측면들 상에 배치되나, 프로세스 분위기들이 서로로부터 격리되지 않는다. 프로세싱 소오스는 수평으로 센터링된 수직 플라즈마 발생기이며, 그러한 플라즈마 발생기는 복수의 기판들이 플라즈마 발생기의 어느 한 측면 상에서 동시에, 그러나 서로 독립적으로 프로세싱될 수 있게 허용한다. 이러한 시스템은 트윈 시스템으로서 정렬되고, 그에 따라 자체적인 프로세싱 챔버를 각각 가지는 2개의 동일한 프로세싱 라인들이 서로 근처에 정렬된다. 복수의 로봇들을 이용하여 프로세싱 시스템으로 기판들을 로딩하고 그리고 프로세싱 시스템으로부터 기판들을 언로딩한다. 각 로봇은 시스템 내의 양 프로세싱 라인들에 접근할 수 있다.

Description

수직 인라인 화학기상증착 시스템{VERTICAL INLINE CVD SYSTEM}
본원 발명의 실시예는 일반적으로 수직 화학기상증착(CVD) 시스템에 관한 것이다.
CVD는 화학적 전구체들을 프로세싱 챔버 내로 도입하고, 화학적으로 반응시켜 미리 결정된 화합물 또는 재료를 형성하게 하며, 그리고 프로세싱 챔버 내의 기판 상에 증착(deposit; 이하, 편의상 '증착'이라 함)시키는 프로세스이다. 몇 가지 CVD 프로세스들이 존재한다. 하나의 CVD 프로세스는 플라즈마 강화 화학기상증착(PECVD)이며, 그러한 PECVD에 의해서 플라즈마가 챔버 내에서 점화되어(ignited) 전구체들 사이의 반응을 촉진시킨다. PECVD는 유도 결합형 플라즈마 소오스(source) 또는 용량 결합형 플라즈마 소오스를 이용함으로써 달성될 수 있을 것이다.
CVD 프로세스는 평판 디스플레이들 또는 태양전지 패널들과 같은 대형 기판들을 프로세싱하기 위해서 이용될 수 있을 것이다. CVD는 트랜지스터들을 위한 실리콘계 필름들과 같은 층들을 증착하기 위해서 사용될 수 있을 것이다. 평판 디스플레이 디바이스들의 제조 비용을 줄이는 장치 및 방법에 대한 당업계의 요구가 있다.
본원 발명은 일반적으로 복수의 기판들을 프로세싱할 수 있는 프로세싱 챔버를 가지는 수직 CVD 시스템에 관한 것이다. 복수의 기판들이 프로세싱 챔버 내에서 프로세싱 소오스의 대향 측면들 상에 배치되나, 프로세스 분위기들이 서로로부터 격리되지 않는다. 프로세싱 소오스는 수평으로 센터링된 수직 플라즈마 발생기이며, 그러한 플라즈마 발생기는 복수의 기판들이 플라즈마 발생기의 어느 한 측면(either side) 상에서 동시에, 그러나 서로 독립적으로 프로세싱될 수 있게 허용한다. 이러한 시스템은 트윈(twin) 시스템으로서 정렬되고, 그에 따라 자체적인 프로세싱 챔버를 각각 가지는 2개의 동일한 프로세싱 라인들이 서로 근처에 정렬된다. 복수의 로봇들을 이용하여 프로세싱 시스템으로 기판들을 로딩하고 그리고 프로세싱 시스템으로부터 기판들을 언로딩한다. 각 로봇은 시스템 내의 양 프로세싱 라인들에 접근할 수 있다.
일 실시예에서, 장치는 챔버 바디, 복수의 플라즈마 발생기들, 각각의 플라즈마 발생기의 제 1 단부에 결합된 제 1 도파관, 각각의 플라즈마 발생기의 제 2 단부에 결합된 제 2 도파관, 상기 챔버 바디 외부에 배치되고 상기 제 1 도파관에 결합되는 제 1 전력 소오스, 및 상기 제 2 도파관에 결합되는 제 2 전력 소오스를 포함한다. 복수의 플라즈마 발생기들은 챔버 바디 내에서 수평으로 센터링되고 그리고 챔버 바디 내에서 수직으로 연장하며, 그에 따라 복수의 플라즈마 발생기들의 대향 측면들 상에서 프로세싱되는 하나 또는 둘 이상의 기판들을 위한 충분한 공간이 챔버 바디 내에 남게 된다. 각 플라즈마 발생기는 챔버 바디의 하단부 근처의 제 1 단부 및 챔버 바디의 상단부 근처의 제 2 단부를 구비한다. 제 2 전력 소오스들이 챔버 바디의 외부에 배치된다. 제 2 전력 소오스들이 엇갈려 배치된(staggered) 패턴으로 집합적으로 정렬되고, 그에 따라 근처의 제 2 도파관들이 플라즈마 발생기들로부터 대향되는 방향들을 따라서 상응하는 제 2 전력 소오스들까지 연장한다.
다른 실시예에서, 장치는 챔버 바디, 복수의 플라즈마 발생기들, 각각의 플라즈마 발생기의 제 1 단부에 결합된 제 1 도파관, 각각의 플라즈마 발생기의 제 2 단부에 결합된 제 2 도파관, 상기 챔버 바디 외부에 배치되고 상기 제 1 도파관에 결합되는 제 1 전력 소오스, 및 상기 제 2 도파관에 결합되는 제 2 전력 소오스를 포함한다. 복수의 플라즈마 발생기들은 챔버 바디 내에서 수평으로 센터링되고 그리고 챔버 바디 내에서 수직으로 연장하며, 그에 따라 복수의 플라즈마 발생기들의 대향 측면들 상에서 프로세싱되는 하나 또는 둘 이상의 기판들을 위한 충분한 공간이 챔버 바디 내에 남게 된다. 각 플라즈마 발생기는 챔버 바디의 하단부 근처의 제 1 단부 및 챔버 바디의 상단부 근처의 제 2 단부를 구비한다. 제 2 전력 소오스들이 챔버 바디의 외부에 배치된다. 제 2 전력 소오스들이 패턴을 이루어 집합적으로 정렬되고, 그에 따라 근처의 제 2 도파관들이 플라즈마 발생기들로부터 동일한 방향을 따라서 상응하는 제 2 전력 소오스들까지 연장한다.
다른 실시예에서, 장치는 챔버 바디, 복수의 플라즈마 발생기들, 각각의 플라즈마 발생기의 제 1 단부에 결합된 제 1 각도형(angled) 도파관, 각각의 플라즈마 발생기의 제 2 단부에 결합된 제 2 각도형 도파관, 상기 챔버 바디 외부에 배치되고 상기 제 1 도파관에 결합되는 제 1 전력 소오스, 및 상기 제 2 도파관에 결합되는 제 2 전력 소오스를 포함한다. 복수의 플라즈마 발생기들은 챔버 바디 내에서 수평으로 센터링되고 그리고 챔버 바디 내에서 수직으로 연장하며, 그에 따라 복수의 플라즈마 발생기들의 대향 측면들 상에서 프로세싱되는 하나 또는 둘 이상의 기판들을 위한 충분한 공간이 챔버 바디 내에 남게 된다. 각 플라즈마 발생기는 챔버 바디의 하단부 근처의 제 1 단부 및 챔버 바디의 상단부 근처의 제 2 단부를 구비한다. 제 2 전력 소오스들이 챔버 바디의 외부에 배치된다. 제 2 전력 소오스들이 엇갈려 배치된(staggered) 패턴으로 집합적으로 정렬되고, 그에 따라 각각의 제 2 도파관들이 챔버 바디의 측면을 따라서 그리고 챔버 바디의 지붕(roof)을 따라서 위쪽으로 각 플라즈마 발생기의 제 1 단부까지 연장한다.
본원 발명의 앞서 인용한 특징들이 구체적으로 이해될 수 있는 방식으로, 앞서서 간략하게 요약한 본원 발명에 대한 보다 특별한 설명은 첨부된 도면들에 일부가 도시된 실시예들을 참조하여 이루어진다. 그러나, 본원 발명이 다른 동일한 효과의 실시예들에 대해서도 인정되기 때문에, 첨부 도면들이 본원 발명의 전형적인 실시예들만을 도시한 것이고 그에 따라 본원 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것을 주목하여야 할 것이다.
도 1은 일 실시예에 따른 프로세싱 시스템의 개략적인 도면이다.
도 2는 도 1의 프로세싱 시스템의 개략적인 평면도이다.
도 3은 도 1의 프로세싱 시스템의 개략적인 측면도이다.
도 4는 도 1의 프로세싱 챔버의 확대도이다.
도 5는 도 1의 프로세싱 시스템의 개략적인 배면도이다.
도 6a는 도 1의 프로세싱 챔버의 개략적인 단면도이다.
도 6b는 도 1의 프로세싱 챔버의 부분적인 측면도이다.
도 7은 도 1의 프로세싱 시스템을 위한 배기 시스템의 개략적인 도면이다.
도 8은 도 1의 프로세싱 챔버의 등측도이다.
도 9는 도 1의 프로세싱 시스템에 대한 기판 시퀀싱을 도시한 개략적인 평면도이다.
도 10a-10c는 도 1의 프로세싱 챔버들의 개략적인 도면이다.
도 11a 및 11b는 다른 실시예에 따른 프로세싱 챔버의 개략적인 도면이다.
도 12a 및 12b는 다른 실시예에 따른 프로세싱 챔버의 개략적인 도면이다.
도 13a 및 13b는 다른 실시예에 따른 프로세싱 챔버의 개략적인 도면이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 구성요소들에 대해서는 동일한 참조 부호를 사용하여 표시하였다. 추가적인 언급이 없는 경우에, 하나의 실시예의 구성요소들 및 특징들이 다른 실시예들에서 유리하게 포함될 수 있다는 것을 생각할 수 있을 것이다.
본원 발명은 일반적으로 복수의 기판들을 프로세싱할 수 있는 프로세싱 챔버를 가지는 수직 CVD 시스템과 관련된다. 복수의 기판들이 프로세싱 챔버 내에서 프로세싱 소오스의 대향 측면들 상에 배치되나, 프로세스 분위기들은 서로로부터 격리되지 않는다. 프로세싱 소오스는 수평으로 센터링된 수직 플라즈마 발생기이며, 그러한 플라즈마 발생기는 복수의 기판들이 플라즈마 발생기의 어느 한 측면 상에서 동시에, 그러나 서로 독립적으로 프로세싱될 수 있게 허용한다. 이러한 시스템은 트윈 시스템으로서 정렬되고, 그에 따라 자체적인 프로세싱 챔버를 각각 가지는 2개의 동일한 프로세싱 라인들이 서로 근처에 정렬된다. 복수의 로봇들을 이용하여 프로세싱 시스템으로 기판들을 로딩하고 그리고 프로세싱 시스템으로부터 기판들을 언로딩한다. 각 로봇은 시스템 내의 양 프로세싱 라인들에 접근할 수 있다.
수평으로 센터링된 수직 플라즈마 발생기는 프로세싱 챔버 내에서 수직인 플라즈마 소오스를 가지는 플라즈마 발생기이다. 수직이라는 것에 의해서, 플라즈마 소오스가 챔버의 하단부의 또는 그 근처의 제 1 단부로부터 챔버의 상단부의 또는 그 근처의 제 2 단부까지 연장한다는 것이 이해된다. 수평으로 센터링된다는 것에 의해서, 플라즈마 소오스가 프로세싱 챔버의 2개의 벽들 또는 단부들 사이에서 균일하게 이격된다는 것이 이해된다.
본원에서 기술된 실시예들은 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수할 수 있는 변경된 AKT Aristo 시스템 내의 수직 CVD 챔버를 이용하여 실시될 수 있을 것이다. 다른 제조자들이 판매하는 시스템들을 포함하는 다른 시스템들에서도 실시예들이 실시될 수 있다는 것을 이해할 수 있을 것이다.
도 1은 일 실시예에 따른 수직의, 선형 CVD 시스템(100)의 개략적인 도면이다. 시스템(100)은 약 90,000 mm2 보다 더 큰 표면적을 가지는 기판들을 프로세싱하도록 크기가 정해질 수 있고 그리고 2,000 옹스트롬 두께의 실리콘 질화물 필름을 증착할 때 시간당 90개 초과의 기판들을 프로세싱할 수 있을 것이다. 바람직하게, 시스템(100)은 트윈 프로세스 라인 구성/레이아웃을 형성하기 위해서 공통(common) 시스템 제어 플랫폼(112)에 의해서 함께 결합되는 2개의 분리된 프로세스 라인들(114A, 114B)을 포함한다. (AC 전원과 같은) 공통 전원, 공통 및/또는 공유(shared) 펌핑 및 배기 성분들(components) 그리고 공통 가스 패널이 트윈 프로세스 라인들(114A, 114B)을 위해서 이용될 수 있을 것이다. 각각의 프로세싱 라인(114A, 114B)이 시간당 45개 초과의 기판들을 프로세싱할 수 있을 것이며, 그에 따라 시스템에서 시간당 총 90개 초과의 기판들을 프로세싱할 수 있을 것이다. 또한, 단일 프로세스 라인 또는 2개 초과의 프로세스 라인들을 이용하는 시스템이 구성될 수 있다는 것을 이해할 수 있을 것이다.
수직 기판 프로세싱의 경우에 트윈 프로세스 라인들(114A, 114B)에 대한 몇 가지 장점들이 있다. 챔버들이 수직으로 정렬되기 때문에, 시스템(100)의 풋프린트(footprint)가 단일의, 통상적인 수평적 프로세싱 라인과 거의 동일하다. 그에 따라, 대략적으로 동일한 풋프린트 내에 2개의 프로세싱 라인들(114A, 114B)이 존재하고, 이는 팹(fab)의 바닥 공간 절감에 있어서, 제조자에게 이익이 된다. "수직"이라는 용어의 의미를 이해하는데 도움을 주기 위해서, 평판 디스플레이를 고려한다. 컴퓨터 모니터와 같은 평판 디스플레이는 길이, 폭 및 두께를 가진다. 평판 디스플레이가 수직일 때, 길이 또는 폭 중 어느 하나가 지면으로부터 수직으로 연장하는 한편, 두께는 지면에 대해서 평행이 된다. 결과적으로, 평판 디스플레이가 수평일 때, 길이 및 폭 모두가 지면에 대해서 평행하게 되는 한편, 두께는 지면에 대해서 수직이 된다. 대형 기판들의 경우에, 길이 및 폭이 기판의 두께 보다 상당히 큰 배수(times)가 된다.
각각의 프로세싱 라인(114A, 114B)은 기판 적층(stacking) 모듈(102A, 102B)을 포함하고, 그러한 모듈로부터 새로운(fresh) 기판들(즉, 시스템(100) 내에서 아직 프로세싱되지 않은 기판들)이 회수되고 그리고 프로세싱된 기판들이 저장된다. 대기압 로봇들(104A, 104B)이 기판 적층 모듈들(102A, 102B)로부터 기판들을 회수하고 그리고 그 기판들을 듀얼 기판 로딩 스테이션(106A, 106B) 내로 위치시킨다. 기판 적층 모듈(102A, 102B)이 수평으로 배향되어 적층된 기판들을 가지는 것으로 도시되어 있지만, 기판 적층 모듈(102A, 102B) 내에 배치된 기판들은, 듀얼 기판 로딩 스테이션(106A, 106B) 내에서 기판들이 홀딩되는 방식과 유사하게, 수직 배향으로 유지될 수 있다는 것을 이해할 수 있을 것이다. 이어서, 새로운 기판들이 듀얼 기판 로드록 챔버들(108A, 108B) 내로 이동되고 그리고 이어서 듀얼 기판 프로세싱 챔버(110A, 110B)로 이동된다. 기판은, 이제 프로세싱되고, 이어서 듀얼 기판 로드록 챔버들(108A, 108B) 중 하나를 통해서 듀얼 기판 로딩 스테이션들(106A, 106B) 중 하나로 복귀되며, 그러한 듀얼 기판 로딩 스테이션에서 기판이 대기압 로봇(104A, 104B) 중 하나에 의해서 회수되고 그리고 기판 적층 모듈들(102A, 102B) 중 하나로 복귀된다.
도 2는 도 1의 실시예의 평면도이다. 기판이 프로세싱 라인들 중 하나의 경로만을 따라서 이동되지만, 프로세싱 라인들(114A, 114B) 모두를 동시에 참조하여 시퀀스를 설명할 것이다. 각 로봇(104A, 104B)은 공통 트랙(202)을 따라서 이동할 것이다. 이하에서 설명하는 바와 같이, 각 로봇(104A, 104B)은 기판 로딩 스테이션들(106A, 106B) 모두에 접근할 수 있을 것이다. 때때로, 프로세싱 라인들(114A, 114B)을 통해서 기판을 이송하기 위해서 이용되는 기판 캐리어를 수리, 세정, 또는 교체 목적으로 서비스할 필요가 있을 것이다. 그에 따라, 기판 캐리어 서비스 스테이션들(204A, 204B)은 프로세싱 라인들(114A, 114B)을 따라서 로드록 챔버들(108A, 108B)의 반대쪽에서 프로세싱 챔버(110A, 110B)에 결합된다.
프로세싱 챔버들(110A, 110B)뿐만 아니라 로드록 챔버들(108A, 108B)을 배기하기 위해서, 하나 또는 둘 이상의 진공 펌프들(206)이 상기 챔버들에 결합된다. 로드록 챔버들(108A, 108B)을 배기하기 위해서, 진공 펌프(206)가 로드록 챔버들(108A, 108B) 모두에 결합된 배기 라인(210)으로부터 진공을 인출(draw)한다. 프로세싱 챔버들(110A, 110B)을 배기하기 위해서, 배기 라인들(212, 214, 216, 218, 220, 222, 224, 226)이 프로세싱 챔버(110A, 110B)에 결합된다. 로드록 챔버들(108A, 108B) 및 프로세싱 챔버들(110A, 110B)의 배기에 대해서는 도 7을 참조하여 이하에서 추가적으로 설명할 것이다.
도 3은 시스템(100)의 측면도이다. 작동 중에, 프로세싱 챔버들(110A, 110B)의 온도가 상승될 수 있고 그에 따라 열 팽창될 수 있을 것이다. 유사하게, 높은 온도들을 가지는 기판들이 프로세싱 챔버들(110A, 110B)로부터 로드록 챔버들(108A, 108B)로 유입될 수 있고, 그러한 유입은 로드록 챔버들(108A, 108B)의 열팽창을 유발할 수 있을 것이다. 로드록 챔버들(108A, 108B)의 열 팽창을 보상하기 위해서, 로드록 챔버들(108A, 108B)은, 프로세싱 챔버들(110A, 110B) 근처에서 고정되나 로드록 챔버(108A, 108B)의 나머지 뿐만 아니라 근처의 기판 로딩 스테이션(106A, 106B)이 여전히 화살표("A")로 표시된 방향으로 이동될 수 있게 허용하는, 단부(302)를 가질 수 있을 것이다. 유사하게, 프로세싱 챔버들(110A, 110B)은 로드록 챔버들(108A, 108B) 근처에서 고정된 단부(304)를 가질 수 있는 한편, 프로세싱 챔버(110A, 110B)의 다른 단부뿐만 아니라 기판 캐리어 서비스 스테이션들(204A, 204B)이 열 팽창에 의해서 화살표("B")로 표시된 방향으로 이동될 수 있을 것이다. 프로세싱 챔버들(110A, 110B)이 열 팽창으로 인해서 팽창됨에 따라, 기판 캐리어 서비스 스테이션들(204A, 204B)이 또한 이동되어 프로세싱 챔버들(110A, 110B)이 팽창될 수 있게 허용한다. 프로세싱 챔버들(110A, 110B)이 팽창될 때 기판 캐리어 서비스 스테이션들(204A, 204B)이 이동하지 않는다면, 프로세싱 라인들(114A, 114B)은 더운 여름날의 철도 트랙과 상당히 유사하게 버클링(buckle)될 수 있다. 유사하게, 로드록 챔버들(108A, 108B)이 팽창함에 따라, 기판 로딩 스테이션들(106A, 106B)이 또한 이동되어 로드록 챔버들(108A, 108B)이 팽창하도록 허용한다.
도 4는 열 팽창으로 인해서 프로세싱 챔버(110B)가 이동할 수 있게 허용하는 설비를 도시한 프로세싱 챔버(110B)의 확대도이다. 프로세싱 챔버(110B)를 참조하여 설명되었지만, 그러한 설명은 로드록 챔버(108B)에 대해서도 동일하게 적용될 것임이 이해될 것이다. 프로세싱 챔버(110B)는 프레임(402) 위에 배치된다. 프로세싱 챔버(110B)의 단부(304)는 고정 지점(404) 및 프레임(402) 상에 배치된 저마찰 재료(408)의 피스(piece)를 따라서 이동할 수 있는 풋(foot) 부분(406)을 가진다. 저마찰 재료(408)를 위해서 이용될 수 있는 적합한 재료는 폴리테트라플루오로에틸렌을 포함한다. 다른 저마찰 재료들이 또한 고려될 수 있다는 것이 이해될 것이다. 기판 로딩 스테이션들(106A, 106B) 뿐만 아니라 기판 캐리어 서비스 스테이션들(204A, 204B) 모두도 프레임 위에 배치되고 저마찰 재료를 구비하여 기판 캐리어 서비스 스테이션들(204A, 204B) 및 기판 로딩 스테이션들(106A, 106B)이 이동할 수 있게 허용하는 풋 부분들을 가질 것이다.
도 5는 배기 시스템을 도시한 프로세싱 시스템(100)의 후방 입면도이다. 도 및 6b는 진공 시스템을 연결하기 위한 배기 위치들을 도시한 프로세싱 챔버(110B)의 평면도 및 부분적인 측면도이다. 배기 라인들(212, 214, 216, 218, 220, 222, 224, 226) 각각은 스플리터(splitter) 도관(504A-504B)에 결합되는 수직 도관(502A-502B)을 가진다. 각각의 스플리터 도관(504A-504B)은 프로세싱 챔버(110A, 110B)에 결합되는 2개의 연결 지점들(506A-506H)을 가진다. 그에 따라, 각 프로세싱 챔버들(110A, 110B)의 각 측면에 4개의 연결 지점들이 있다.
도 6a는 프로세싱 챔버(110B)에 대한 연결 지점들(602A-602D)을 도시한다. 프로세싱 챔버(110B)는 2개의 기판 캐리어들(604A, 604B)을 구비하는 것으로 도시되어 있으며, 각각의 기판 캐리어는 그 상부에 기판(606A, 606B)을 가진다. 플라즈마 발생기들(608)은 가스 도입 도관(610)과 같이 중앙에 위치된다. 플라즈마 발생기들(608)은 CVD를 위해서 프로세싱 챔버들(110A, 110B) 내에서 플라즈마를 생성하는 마이크로파 소오스들이다. 플라즈마 발생기들(608)은 전력 소오스들(614)에 의해서 전력을 공급받는다(powered). 도 6b에 도시된 바와 같이, 연결 지점들(602A, 602I)은 챔버 덮개(612)의 모서리들 근처에 배치된다. 연결 지점들(602A-602D)이 프로세싱 챔버(110B)의 모서리들 근처에 배치되기 때문에, 프로세싱 챔버(110B)는 챔버(110B)의 모든 구역들에서 실질적으로 균일하게 배치될 수 있을 것이다. 하나의 배기 지점만이 이용될 수 있다면, 배기 지점에서 먼 위치에 대비할 때 배기 지점의 근처에서 진공이 보다 더 클 것이다. 부가적인 연결부들을 포함하여, 다른 배기 연결부들이 가능하다는 것을 예상할 수 있을 것이다.
도 7은 일 실시예에 따른 배기 시스템(700)의 개략도이다. 단일 진공 펌프 대신에, 각 프로세싱 챔버(110A, 110B)가 몇 개의 진공 펌프들(702A-702H)을 가질 수 있을 것이다. 각각의 수직 라인들(502A-502H)은 연결 지점들(602A-602P)로 결합되기 전에 스플리터 도관들(504A-504H)로 분할된다. 각각의 프로세싱 챔버들(110A, 110B)에 대한 진공 레벨을 제어하기 위해서, 스로틀 밸브(704)가 연결 지점들(602A-602P) 및 스플리터 도관들(504A-504H) 사이에 위치될 수 있을 것이다. 배기 시스템(700)이 보다 적은 수의 진공 펌프들을 가지는 시스템에 적용될 수 있다는 것을 이해할 수 있을 것이다. 만약 프로세싱 챔버에 결합된 진공 펌프들 중 하나가 기능하지 않는다면, 프로세싱 챔버에 결합된 다른 진공 펌프들이 기능하지 않는 펌프를 보상할 수 있을 것이며, 그에 따라 프로세싱 챔버가 미리 결정된 진공 레벨을 유지할 수 있을 것이다.
로드록 챔버들(108A, 108B)이 로드록 챔버들(108A, 108B)의 연결 지점들(708A, 708B)에 결합된 공통 진공 펌프(706)에 의해서 배기될 수 있을 것이다. 2방향 밸브(710)가 진공 펌프(706)와 연결 지점들(708A, 708B) 사이에 존재하여 로드록 챔버들(108A, 108B)의 진공 레벨을 제어할 수 있을 것이다.
도 8은 프로세싱 챔버(110B)로부터 이격된 챔버 덮개(612)의 측면 사시도이다. 프로세싱 챔버(110B)를 서비스하기 위해서, 수직 도관(502A-502E)을 지점들(802A, 802B)에서 배기 라인들(224, 226)로부터 분리함으로써 화살표("C")에 도시된 바와 같이 덮개(612)가 이동될 수 있을 것이다. 그에 따라, 전체 배기 시스템(700)을 분해할 필요가 없이 또는 많은 수의 무거운 시스템 성분들을 이동시킬 필요가 없이, 덮개(612)가 이동될 수 있을 것이다. 크레인 또는 수압식 리프트들과 같은 이동 장치를 이용하여 덮개(612)를 프로세싱 챔버(110B)로부터 슬라이딩시킴으로써, 덮개(612)가 이동될 수 있을 것이다.
도 9는 기판 적층 모듈들(102A, 102B)로부터 기판들(906)을 제거하고 그리고 기판들(906)을 기판 로딩 스테이션 분위기들(902A-902D) 내로 배치하는 로봇(104A, 104B)에 대한 시퀀스를 도시한다. 기판 로딩 스테이션들(106A, 106B)은 2개의 분리된 분위기들(902A-902D)을 가지는 것으로 도시되어 있다. 각각의 분위기에서, 기판 캐리어(906)가 다른 방향으로 향한다. 그에 따라, 기판들(906)이 기판 로딩 스테이션 분위기들(902A-902D) 내에 배치될 때, 기판들(906)은 각각의 분리된 기판 로딩 스테이션(106A, 106B) 내에서 캐리어들(904)에 의해서 이격된다.
로봇(104A)은 기판 적층 모듈들(102A)로부터 기판(906)을 회수하고 그리고 트랙(202)을 따라서 이동하여 기판(906)을 분위기(902B) 또는 분위기(902D) 내에 배치시킨다. 로봇(104A)이 기판(906)을 분위기(902B, 902D) 내에 배치할 때, 기판(906)이 캐리어(904)로부터 멀어지는 화살표("E") 방향을 향하도록 기판(906)이 캐리어(904) 상에 위치된다. 유사하게, 로봇(104B)은 기판 적층 모듈들(102B)로부터 기판(906)을 회수하고 그리고 트랙(202)을 따라서 이동하여 기판(906)을 분위기(902A) 또는 분위기(902C) 내에 배치시킨다. 로봇(104B)이 기판(906)을 분위기(902A, 902C) 내에 배치할 때, 기판(906)이 캐리어(904)로부터 멀어지는 화살표("D") 방향을 향하도록 기판(906)이 캐리어(904) 상에 위치된다. 그에 따라, 양 로봇(104A, 104B)이 동일한 기판 로딩 스테이션(106A, 106B)으로 접근할 수 있고 그리고 동일한 트랙(202)을 따라서 이동할 수 있다. 그러나, 각 로봇(104A, 104B)이 기판 로딩 스테이션들(106A, 106B)의 분리된 분위기(902A-902D)에 접근하고 그리고 특별한 방향을 향하는 각각의 캐리어들(904) 상에 기판들(906)을 배치시킬 수만 있다.
도 10a-10c는 일 실시예에 따른 듀얼 프로세싱 챔버들(110A, 110B)의 개략적인 도면이다. 듀얼 프로세싱 챔버들(110A, 110B)은 각각의 프로세싱 챔버(110A, 110B)의 중심에서 선형 정렬로 배치된 복수의 마이크로파 안테나들(1010)을 포함한다. 안테나들(1010)은 프로세싱 챔버의 상단부로부터 프로세싱 챔버의 하단부까지 수직으로 연장한다. 각각의 마이크로파 안테나(1010)는 마이크로파 안테나(1010)에 결합된 프로세싱 챔버의 상단부 및 하단부 모두에서 대응 마이크로파 전력 헤드(1012)를 가진다. 도 10b에 도시된 바와 같이, 마이크로파 전력 헤드들(1012)은 엇갈려 배치된다(staggered). 엇갈린 배치는 공간적 제한 때문일 수 있다. 전력은 각각의 전력 헤드(1012)를 통해서 안테나(1010)의 각 단부에 독립적으로 인가될 수 있을 것이다. 마이크로파 안테나들(1010)이 300 MHz 내지 300 GHz 범위 내의 주파수로 작동될 수 있을 것이다.
각각의 프로세싱 챔버들이, 마이크로파 안테나들(1010)의 각 측면 상에 하나씩, 2개의 기판들을 프로세싱할 수 있도록 정렬된다. 기판들은 플래튼(platen; 1008) 및 쉐도우 프레임(shadow frame; 1004)에 의해서 프로세싱 챔버 내의 제 위치에서 홀딩된다. 가스 도입 튜브들(1014)이 근처의 마이크로파 안테나들(1010) 사이에 배치된다. 가스 도입 튜브들(1014)은 마이크로파 안테나들(1010)에 평행한 프로세싱 챔버의 하단부로부터 상단부까지 수직으로 연장한다. 가스 도입 튜브들(1014)은 실리콘 전구체들 및 질소 전구체들과 같은 프로세싱 가스들의 도입을 허용한다. 도 10a-10c에 도시되지는 않았지만, 프로세싱 챔버들(110A, 110B)은 기판 캐리어들(1008) 뒤쪽에 위치된 펌핑 포트를 통해서 배기될 수 있을 것이다.
도 11a 및 11b는 다른 실시예에 따른 프로세싱 챔버(1100)의 개략적인 도면들이다. 프로세싱 챔버(1100)는 챔버 바디 내에서 제 1 단부(1108)로부터 제 2 단부(1118)까지 수직으로 연장하는, 마이크로파 안테나들과 같은, 복수의 플라즈마 발생기들을 포함한다. 프로세싱 챔버(1100)는 기판들의 프로세싱에서 이용하기 위한 플라즈마 발생기들(1102)의 각각의 측면 상의 쉐도우 프레임(1104)을 포함한다. 도 11b에 도시된 바와 같이, 쉐도우 프레임(1104)은 복수의 플라즈마 발생기들(1102)의 대향 측면들 상에 배치되며, 그에 따라 2개의 대형 기판들이 하나의 프로세싱 챔버(1100) 내에서 프로세싱될 수 있을 것이고 그에 따라 동시에 또는 연속적으로 동일한 프로세싱 분위기에 노출될 수 있을 것이다.
각각의 플라즈마 발생기(1102)가 그 플라즈마 발생기의 제 1 단부(1108)에서 제 1 도파관(1110)에 그리고 그 플라즈마 발생기의 제 2 단부(1118)에서 제 2 도파관(1118)에 결합된다. 각각의 제 1 도파관(1110)이 제 1 전력 소오스(1112)에 결합되는 한편, 각각의 제 2 도파관이 제 2 전력 소오스(1114)에 결합된다. 전력 소오스들(1112, 1114)이 외장(enclosure; 1106) 내에서 도파관들(1110, 1116)에 결합될 수 있을 것이다. 도 11b에 가장 잘 도시된 바와 같이, 외장들(1106)은 엇갈려 배치된 "T" 형상의 외장들이다. 공간적 제한들 때문에, 엇갈려 배치된 "T" 형상의 외장들이 필수적일 수 있을 것이다. 그러한 배열에서, 근처의 도파관들(1110, 1116)이 단부들(1108, 1118)로부터 각각의 전력 소오스들(1112, 1114)까지 반대의, 평행한 방향들을 따라 연장한다. 또한, 가스 도입 튜브들이 도 10c와 관련하여 전술한 방식으로 프로세싱 챔버(1100) 내에 배치될 수 있을 것이다.
도 12a 및 12b는 다른 실시예에 따른 프로세싱 챔버(1200)의 개략적인 도면들이다. 프로세싱 챔버(1200)는 제 1 단부(1208)로부터 제 2 단부(1218)까지 챔버 바디 내에서 수직으로 연장하는, 마이크로파 안테나들과 같은, 복수의 플라즈마 발생기들을 포함한다. 프로세싱 챔버(1200)는 기판들의 프로세싱에서 이용하기 위한 플라즈마 발생기들(1202)의 각각의 측면 상의 쉐도우 프레임(1204)을 포함한다. 도 12b에 도시된 바와 같이, 쉐도우 프레임(1204)은 복수의 플라즈마 발생기들(1202)의 대향 측면들 상에 배치되며, 그에 따라 2개의 대형 기판들이 하나의 프로세싱 챔버(1200) 내에서 프로세싱될 수 있을 것이고 그에 따라 동시에 또는 연속적으로 동일한 프로세싱 분위기에 노출될 수 있을 것이다.
각각의 플라즈마 발생기(1202)가 그 플라즈마 발생기의 제 1 단부(1208)에서 제 1 도파관(1210)에 그리고 그 플라즈마 발생기의 제 2 단부(1218)에서 제 2 도파관(1218)에 결합된다. 각각의 제 1 도파관(1210)이 제 1 전력 소오스(1212)에 결합되는 한편, 각각의 제 2 도파관이 제 2 전력 소오스(1214)에 결합된다. 전력 소오스들(1212, 1214)이 외장(1206) 내에서 도파관들(1210, 1216)에 결합될 수 있을 것이다. 도 12b에 가장 잘 도시된 바와 같이, 외장들(1206) 모두는 프로세싱 챔버(1200)의 동일한 측면으로부터 연장한다. 그러한 배열에서, 근처의 도파관들(1210, 1216)이 단부들(1208, 1218)로부터 각각의 전력 소오스들(1212, 1214)까지 동일한, 평행한 방향을 따라 연장한다. 또한, 가스 도입 튜브들이 도 10c와 관련하여 전술한 방식으로 프로세싱 챔버(1200) 내에 배치될 수 있을 것이다.
도 13a 및 13b는 다른 실시예에 따른 프로세싱 챔버(1300)의 개략적인 도면들이다. 프로세싱 챔버(1300)는 제 1 단부(1308)로부터 제 2 단부(1318)까지 챔버 바디 내에서 수직으로 연장하는, 마이크로파 안테나들과 같은, 복수의 플라즈마 발생기들을 포함한다. 프로세싱 챔버(1300)는 기판들의 프로세싱에서 이용하기 위한 플라즈마 발생기들(1302)의 각각의 측면 상의 쉐도우 프레임(1304)을 포함한다. 도 13b에 도시된 바와 같이, 쉐도우 프레임(1304)은 복수의 플라즈마 발생기들(1302)의 대향 측면들 상에 배치되며, 그에 따라 2개의 대형 기판들이 하나의 프로세싱 챔버(1300) 내에서 프로세싱될 수 있을 것이고 그에 따라 동시에 또는 연속적으로 동일한 프로세싱 분위기에 노출될 수 있을 것이다.
각각의 플라즈마 발생기(1302)가 그 플라즈마 발생기의 제 1 단부(1308)에서 제 1 각도형 도파관(1310)에 그리고 그 플라즈마 발생기의 제 2 단부(1318)에서 제 2 각도형 도파관(1318)에 결합된다. 각각의 제 1 각도형 도파관(1310)이 제 1 전력 소오스(1312)에 결합되는 한편, 각각의 제 2 각도형 도파관이 제 2 전력 소오스(1314)에 결합된다. 도파관들(1310, 1316)의 명료한 도시를 위해서 챔버의 측면으로부터 분리함으로써, 외장들(1306)이 챔버(1300)의 상단부와 하단부 상에서 보여진다. 도 13b에 가장 잘 도시된 바와 같이, 도파관들(1310, 1316)이 프로세싱 챔버(1300)의 상단부를 따라서 그리고 프로세싱 챔버(1300)의 측면을 따라서 아래로 각각의 전력 소오스들(1312, 1314)까지 연장한다. 플라즈마 발생기들(1302)의 제 1 및 제 2 단부들(1308, 1318)에 대한 전력 소오스들(1312, 1314)의 위치로 인해서, 도파관들(1310, 1316)이 각도를 이룬다. 또한, 가스 도입 튜브들이 도 10c와 관련하여 전술한 방식으로 프로세싱 챔버(1300) 내에 배치될 수 있을 것이다.
수직 CVD 시스템을 이용함으로써, 복수의 기판들이 동시에 프로세싱될 수 있을 것이다. 복수의 기판들을 동시에 프로세싱하는 것은 제조 비용을 절감하며, 그러한 제조 비용 절감은 제조자의 이익을 증가시킬 수 있을 것이다.
전술한 내용들이 본원 발명의 실시예들에 관한 것이지만, 본원 발명의 다른 실시예들 그리고 추가적인 실시예들이 본원 발명의 기본적인 범위로부터 벗어나지 않고도 고안될 수 있을 것이고, 본원 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (9)

  1. 장치로서:
    챔버 바디;
    복수의 플라즈마 발생기들의 대향 측면들 상에서 프로세싱되는 하나 또는 둘 이상의 기판들을 위한 충분한 공간이 상기 챔버 바디 내에 남도록, 상기 챔버 바디 내에서 수평으로 센터링(center)되고 그리고 상기 챔버 바디 내에서 수직으로 연장하는 복수의 플라즈마 발생기들로서, 각각의 플라즈마 발생기는 상기 챔버 바디의 하단부 근처의 제 1 단부 및 상기 챔버 바디의 상단부 근처의 제 2 단부를 구비하는, 상기 복수의 플라즈마 발생기들;
    상기 각각의 플라즈마 발생기의 제 1 단부에 결합된 제 1 도파관;
    상기 각각의 플라즈마 발생기의 제 2 단부에 결합된 제 2 도파관;
    상기 챔버 바디 외부에 배치되고, 각각의 제 1 도파관에 결합되는 제 1 전력 소오스; 및
    상기 챔버 바디의 외부에 배치되고, 각각의 제 2 도파관에 결합되는 제 2 전력 소오스로서, 상기 제 2 전력 소오스들이 엇갈려 배치된(staggered) 패턴으로 집합적으로 정렬되고, 그에 따라 근처의 제 2 도파관들이 상기 플라즈마 발생기들로부터 대향되는 방향들을 따라서 상응하는 제 2 전력 소오스들까지 연장하는, 상기 제 2 전력 소오스
    를 포함하는, 장치.
  2. 장치로서:
    챔버 바디;
    복수의 플라즈마 발생기들의 대향 측면들 상에서 프로세싱되는 하나 또는 둘 이상의 기판들을 위한 충분한 공간이 상기 챔버 바디 내에 남도록, 상기 챔버 바디 내에서 수평으로 센터링되고 그리고 상기 챔버 바디 내에서 수직으로 연장하는 복수의 플라즈마 발생기들로서, 각각의 플라즈마 발생기는 상기 챔버 바디의 하단부 근처의 제 1 단부 및 상기 챔버 바디의 상단부 근처의 제 2 단부를 구비하는, 상기 복수의 플라즈마 발생기들;
    상기 각각의 플라즈마 발생기의 제 1 단부에 결합된 제 1 도파관;
    상기 각각의 플라즈마 발생기의 제 2 단부에 결합된 제 2 도파관;
    상기 챔버 바디 외부에 배치되고, 각각의 제 1 도파관에 결합되는 제 1 전력 소오스; 및
    상기 챔버 바디의 외부에 배치되고, 각각의 제 2 도파관에 결합되는 제 2 전력 소오스로서, 상기 제 2 전력 소오스들이 패턴을 이루어 집합적으로 정렬되고, 그에 따라 근처의 제 2 도파관들이 플라즈마 발생기들로부터 동일한 방향을 따라서 상응하는 제 2 전력 소오스들까지 연장하는, 상기 제 2 전력 소오스
    를 포함하는, 장치.
  3. 장치로서:
    챔버 바디;
    복수의 플라즈마 발생기들의 대향 측면들 상에서 프로세싱되는 하나 또는 둘 이상의 기판들을 위한 충분한 공간이 상기 챔버 바디 내에 남도록, 상기 챔버 바디 내에서 수평으로 센터링되고 그리고 상기 챔버 바디 내에서 수직으로 연장하는 복수의 플라즈마 발생기들로서, 각각의 플라즈마 발생기는 상기 챔버 바디의 하단부 근처의 제 1 단부 및 상기 챔버 바디의 상단부 근처의 제 2 단부를 구비하는, 상기 복수의 플라즈마 발생기들;
    상기 각각의 플라즈마 발생기의 제 1 단부에 결합된 제 1 각도형 도파관;
    상기 각각의 플라즈마 발생기의 제 2 단부에 결합된 제 2 각도형 도파관;
    상기 챔버 바디 외부에 배치되고, 각각의 제 1 도파관에 결합되는 제 1 전력 소오스; 및
    상기 챔버 바디의 외부에 배치되고, 각각의 제 2 도파관에 결합되는 제 2 전력 소오스로서, 상기 제 2 전력 소오스들이 엇갈려 배치된 패턴으로 집합적으로 정렬되고, 그에 따라 각각의 제 2 도파관이 상기 챔버 바디의 측면을 따라서 위쪽으로 그리고 상기 챔버 바디의 지붕을 따라서 상기 각각의 플라즈마 발생기의 제 1 단부까지 연장하는, 상기 제 2 전력 소오스
    를 포함하는, 장치.
  4. 제 1 항 내지 제 3 항에 있어서,
    상기 복수의 플라즈마 발생기들이 마이크로파 발생기들인, 장치.
  5. 제 4 항에 있어서,
    상기 복수의 마이크로파 발생기들 근처에 그리고 상기 챔버 바디 내에 배치되는 복수의 가스 도입 튜브들을 더 포함하는, 장치.
  6. 제 5 항에 있어서,
    상기 챔버 바디는 상기 복수의 마이크로파 발생기들에 접근하기 위해서 제거할 수 있는 하나 또는 둘 이상의 덮개들을 포함하고, 각각의 덮개는 관통하여 연장하는 복수의 개구부들을 구비하는, 장치.
  7. 제 6 항에 있어서,
    각각의 덮개를 관통하여 연장하는 복수의 개구부들을 통해서 상기 챔버 바디가 배기(evacuate)될 수 있도록, 상기 챔버 바디와 결합된 하나 또는 둘 이상의 진공 펌프들을 더 포함하는, 장치.
  8. 제 7 항에 있어서,
    상기 챔버 바디가 프레임 상에 배치되고, 그리고 상기 챔버 바디가 상기 프레임에 고정된 제 1 단부를 가지는, 장치.
  9. 제 8 항에 있어서,
    상기 프레임 상에 배치된 폴리테트라플루오로에틸렌 부재를 더 포함하고, 상기 챔버 바디가 상기 폴리테트라플루오로에틸렌 부재 상에 배치된 제 2 단부를 구비하고 그리고 상기 폴리테트라플루오로에틸렌 부재를 따라서 이동될 수 있는, 장치.
KR1020127031556A 2010-04-30 2011-04-29 수직 인라인 화학기상증착 시스템 KR101796656B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US33029610P 2010-04-30 2010-04-30
US61/330,296 2010-04-30
US35423010P 2010-06-13 2010-06-13
US61/354,230 2010-06-13
US41653210P 2010-11-23 2010-11-23
US61/416,532 2010-11-23
PCT/US2011/034623 WO2011137373A2 (en) 2010-04-30 2011-04-29 Vertical inline cvd system

Publications (2)

Publication Number Publication Date
KR20130057441A true KR20130057441A (ko) 2013-05-31
KR101796656B1 KR101796656B1 (ko) 2017-11-13

Family

ID=44862144

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127031554A KR101932578B1 (ko) 2010-04-30 2011-04-29 수직 인라인 화학기상증착 시스템
KR1020127031556A KR101796656B1 (ko) 2010-04-30 2011-04-29 수직 인라인 화학기상증착 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127031554A KR101932578B1 (ko) 2010-04-30 2011-04-29 수직 인라인 화학기상증착 시스템

Country Status (6)

Country Link
US (2) US9922854B2 (ko)
JP (2) JP2013527609A (ko)
KR (2) KR101932578B1 (ko)
CN (2) CN102859034B (ko)
TW (2) TWI544107B (ko)
WO (2) WO2011137371A2 (ko)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101932578B1 (ko) * 2010-04-30 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644268B2 (en) * 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104080947B (zh) * 2012-01-27 2016-08-24 应用材料公司 分段式天线组件
WO2013122954A1 (en) * 2012-02-13 2013-08-22 Applied Materials, Inc. Linear pecvd apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI582028B (zh) * 2014-01-03 2017-05-11 緯創資通股份有限公司 導引組裝料件系統及其導引料件台
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20170002607A (ko) * 2014-05-09 2017-01-06 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템 및 이를 사용하기 위한 방법
JP2017515301A (ja) * 2014-05-09 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護カバーを有する基板キャリアシステム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN104195629A (zh) * 2014-08-20 2014-12-10 中国科学院半导体研究所 塔式多片外延生长装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US10039219B1 (en) 2015-09-28 2018-07-31 Western Digital Technologies, Inc. Method and devices for picking and placing workpieces into devices under manufacture using dual robots
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TWI588929B (zh) * 2016-08-01 2017-06-21 矽品精密工業股份有限公司 承載設備及其操作方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN109563609B (zh) * 2017-07-24 2021-04-13 应用材料公司 用于在真空腔室中处理基板的设备与系统和在真空腔室中运输载体的方法
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048818A (zh) * 2017-12-18 2018-05-18 德淮半导体有限公司 化学气相沉积装置及其使用方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102531483B1 (ko) 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11694913B2 (en) * 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US20210130952A1 (en) * 2019-02-28 2021-05-06 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming apparatus
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242611A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. System and method for aligning a mask with a substrate
US11189516B2 (en) 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN110331378B (zh) * 2019-07-18 2024-01-19 中国科学院金属研究所 金刚石薄膜连续制备使用的hfcvd设备及其镀膜方法
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021150524A1 (en) 2020-01-22 2021-07-29 Applied Materials, Inc. In-line monitoring of oled layer thickness and dopant concentration
US11889740B2 (en) * 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4274936A (en) * 1979-04-30 1981-06-23 Advanced Coating Technology, Inc. Vacuum deposition system and method
US4410558A (en) * 1980-05-19 1983-10-18 Energy Conversion Devices, Inc. Continuous amorphous solar cell production system
US4423701A (en) * 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US4474659A (en) * 1982-05-28 1984-10-02 Fazal Fazlin Plated-through-hole method
US4576830A (en) * 1984-11-05 1986-03-18 Chronar Corp. Deposition of materials
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
US4776918A (en) 1986-10-20 1988-10-11 Hitachi, Ltd. Plasma processing apparatus
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
DE4029905C2 (de) * 1990-09-21 1993-10-28 Leybold Ag Vorrichtung für den Transport von Substraten
JP3416910B2 (ja) * 1991-04-04 2003-06-16 シーゲイト テクノロジィ リミテッド ライアビリティ カンパニー スループットの高いスパッタリング装置及び方法
US5397737A (en) * 1992-05-05 1995-03-14 The United States Of America As Represented By The United States Department Of Energy Deposition of device quality low H content, amorphous silicon films
US5776819A (en) * 1992-05-05 1998-07-07 Midwest Research Institute Deposition of device quality, low hydrogen content, amorphous silicon films by hot filament technique using "safe" silicon source gas
US6124186A (en) * 1992-05-05 2000-09-26 Midwest Research Institute Deposition of device quality, low hydrogen content, hydrogenated amorphous silicon at high deposition rates with increased stability using the hot wire filament technique
JP3175333B2 (ja) * 1992-06-15 2001-06-11 日新電機株式会社 基板処理装置
DE69318480T2 (de) * 1992-06-23 1998-09-17 Nippon Telegraph & Telephone Plasmabearbeitungsgerät
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07106094A (ja) * 1993-10-04 1995-04-21 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
JPH07161492A (ja) * 1993-12-06 1995-06-23 Daido Steel Co Ltd マイクロ波プラズマ処理装置
DE4428136A1 (de) * 1994-08-09 1996-02-15 Leybold Ag Vakuum-Beschichtungsanlage
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
JPH08138889A (ja) * 1994-09-16 1996-05-31 Daihen Corp プラズマ処理装置
DE19503205C1 (de) 1995-02-02 1996-07-11 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3754742B2 (ja) * 1996-02-16 2006-03-15 キヤノン株式会社 光起電力素子の作製装置
DE19631407B4 (de) * 1996-08-05 2006-05-04 Unaxis Deutschland Holding Gmbh Vorrichtung zur plasmachemischen Abscheidung von polykristallinem Diamant
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
DE19739894C2 (de) 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6251183B1 (en) * 1998-05-21 2001-06-26 Midwest Research Institute Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process
DE19824077A1 (de) * 1998-05-29 1999-12-02 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
DE19841777C1 (de) * 1998-09-12 2000-01-05 Fraunhofer Ges Forschung Vorrichtung zur plasmatechnischen Abscheidung von polykristallinem Diamant
EP0995812A1 (en) * 1998-10-13 2000-04-26 Vacumetal B.V. Apparatus for flow-line treatment of articles in an artificial medium
AU3076100A (en) * 1999-01-22 2000-08-07 Toyo Kohan Co. Ltd. Microwave plasma cvd apparatus
US6186090B1 (en) * 1999-03-04 2001-02-13 Energy Conversion Devices, Inc. Apparatus for the simultaneous deposition by physical vapor deposition and chemical vapor deposition and method therefor
JP4017796B2 (ja) * 1999-10-26 2007-12-05 株式会社アルバック プラズマ処理装置
JP4448586B2 (ja) * 2000-01-05 2010-04-14 株式会社アルバック 大口径カーボンナノチューブ薄膜形成プラズマcvd装置及び該薄膜の形成方法
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
ES2336303T3 (es) * 2000-05-17 2010-04-12 Ihi Corporation Aparato y procedimiento de cvd por plasma.
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
DE10114115A1 (de) 2001-03-22 2002-10-02 Muegge Electronic Gmbh Anordnung zur Erzeugung von Plasma in einem Behandlungsraum
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
JP4564213B2 (ja) 2001-09-14 2010-10-20 三井造船株式会社 プラズマ生成用アンテナ及びcvd装置
JP4020679B2 (ja) 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
JP2004055614A (ja) 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP4120546B2 (ja) * 2002-10-04 2008-07-16 株式会社Ihi 薄膜形成方法及び装置並びに太陽電池の製造方法及び装置並びに太陽電池
TWI262165B (en) * 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
TW200415726A (en) 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
JP4087233B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
JP4417734B2 (ja) * 2004-01-20 2010-02-17 株式会社アルバック インライン式真空処理装置
DE102004008598B4 (de) * 2004-02-21 2006-12-28 Applied Films Gmbh & Co. Kg Verfahren für den Betrieb einer Inline-Beschichtungsanlage
US20050183665A1 (en) * 2004-02-24 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4471708B2 (ja) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 基板搬送装置
JP2005340425A (ja) * 2004-05-26 2005-12-08 Ulvac Japan Ltd 真空処理装置
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7267319B2 (en) * 2004-11-09 2007-09-11 General Electric Company Low-friction slide-plates for rotary machines
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
KR100667866B1 (ko) * 2004-12-22 2007-01-12 한국기계연구원 리튬이차전지 음극재료용 복합분말 및 그 제조방법과 이를이용한 음극재료
US20060177288A1 (en) 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP2006278643A (ja) 2005-03-29 2006-10-12 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置及びプラズマ処理方法
KR100667886B1 (ko) * 2005-07-01 2007-01-11 주식회사 에스에프에이 인라인 스퍼터링 시스템
US20070095281A1 (en) * 2005-11-01 2007-05-03 Stowell Michael W System and method for power function ramping of microwave liner discharge sources
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
KR101225312B1 (ko) * 2005-12-16 2013-01-22 엘지디스플레이 주식회사 프로세스 장치
DE102006048814B4 (de) * 2006-10-16 2014-01-16 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Plasmadichte
DE102006048815B4 (de) * 2006-10-16 2016-03-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Leistung
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080127887A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Vertically mounted rotary cathodes in sputtering system on elevated rails
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
RU2009131761A (ru) * 2007-02-01 2011-03-10 Уиллард энд Келси Солар Груп, ЭлЭлСи (US) Система и способ нанесения полупроводникового покрытия на лист стекла и получаемый продукт
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20100144122A1 (en) * 2007-07-07 2010-06-10 Xinmin Cao Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
WO2009009607A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for processing a substrate edge region
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5058084B2 (ja) 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP2009094242A (ja) * 2007-10-05 2009-04-30 Ebatekku:Kk 基板保持機構、基板受渡機構、及び基板処理装置
JP2009105081A (ja) * 2007-10-19 2009-05-14 Ebatekku:Kk 基板処理装置
JP5307383B2 (ja) 2007-11-26 2013-10-02 株式会社アルバック 真空処理装置
US8677925B2 (en) * 2007-12-14 2014-03-25 Ulvac, Inc. Chamber and film forming apparatus
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
WO2009148081A1 (ja) * 2008-06-06 2009-12-10 株式会社アルバック 薄膜太陽電池製造装置
WO2009148077A1 (ja) * 2008-06-06 2009-12-10 株式会社アルバック 薄膜太陽電池製造装置
KR20110018425A (ko) * 2008-06-09 2011-02-23 어플라이드 머티어리얼스, 인코포레이티드 기판을 코팅하는 코팅 시스템 및 방법
US20090324368A1 (en) * 2008-06-27 2009-12-31 Applied Materials, Inc. Processing system and method of operating a processing system
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
TW201129713A (en) * 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
JP2011119396A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 薄膜太陽電池製造装置
KR101932578B1 (ko) * 2010-04-30 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor

Also Published As

Publication number Publication date
TWI544107B (zh) 2016-08-01
JP2013527609A (ja) 2013-06-27
CN102859034A (zh) 2013-01-02
US9922854B2 (en) 2018-03-20
TWI551718B (zh) 2016-10-01
WO2011137373A4 (en) 2012-03-15
CN102859034B (zh) 2015-04-29
US20120031335A1 (en) 2012-02-09
WO2011137373A2 (en) 2011-11-03
TW201204865A (en) 2012-02-01
KR101932578B1 (ko) 2018-12-28
TW201202472A (en) 2012-01-16
WO2011137373A3 (en) 2012-02-09
US9324597B2 (en) 2016-04-26
CN102859655A (zh) 2013-01-02
JP5903429B2 (ja) 2016-04-13
US20120031333A1 (en) 2012-02-09
WO2011137371A2 (en) 2011-11-03
KR101796656B1 (ko) 2017-11-13
WO2011137371A3 (en) 2012-03-01
JP2013526067A (ja) 2013-06-20
KR20130062942A (ko) 2013-06-13

Similar Documents

Publication Publication Date Title
KR101796656B1 (ko) 수직 인라인 화학기상증착 시스템
KR102502793B1 (ko) 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
TWI401765B (zh) 傳送基板至二個或多個的製程模組的方法
US8110511B2 (en) Methods and systems of transferring a substrate to minimize heat loss
TWI490971B (zh) 基板的處理系統、傳輸系統和傳輸方法以及橫向移動室
TWI436441B (zh) 製程模組設施
US20110217469A1 (en) Methods and Systems of Transferring, Docking and Processing Substrates
US20140126980A1 (en) Substrate processing apparatus
JP2001135704A (ja) 基板処理装置及び基板搬送用トレイの搬送制御方法
JP5596853B2 (ja) 成膜装置
KR102107896B1 (ko) 처리 시스템
KR100896472B1 (ko) 반도체소자 제조를 위한 멀티챔버 시스템 및 기판 처리 방법
KR20190002415A (ko) 기판을 프로세싱하기 위한 장치, 기판을 프로세싱하기 위한 프로세싱 시스템 및 기판을 프로세싱하기 위한 장치를 서비싱하기 위한 방법
KR102444830B1 (ko) 진공 시스템에서 마스크들을 핸들링하는 방법들 및 진공 시스템
JP2010067878A (ja) 基板処理装置
JP2018060823A (ja) キャリア搬送装置及びキャリア搬送方法
WO2013107766A1 (en) Vacuum deposition system
KR20220033786A (ko) 패브리케이션 라인
US20080202686A1 (en) Self-contained process modules for magnetic media processing tool
KR102034706B1 (ko) 기판처리시스템 및 기판처리방법
KR20110030528A (ko) 기판 제조 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant