CN102859655A - 垂直直列cvd系统 - Google Patents

垂直直列cvd系统 Download PDF

Info

Publication number
CN102859655A
CN102859655A CN2011800216142A CN201180021614A CN102859655A CN 102859655 A CN102859655 A CN 102859655A CN 2011800216142 A CN2011800216142 A CN 2011800216142A CN 201180021614 A CN201180021614 A CN 201180021614A CN 102859655 A CN102859655 A CN 102859655A
Authority
CN
China
Prior art keywords
substrate
carrier
chamber
load lock
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800216142A
Other languages
English (en)
Inventor
栗田真一
J·库德拉
S·安瓦尔
J·M·怀特
D-K·伊姆
H·沃尔夫
D·兹瓦罗
稻川真
I·莫里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102859655A publication Critical patent/CN102859655A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Abstract

本发明大体而言关于一种垂直化学气相沉积(chemical vapor deposition;CVD)系统,其具有能够处理多个基板的一处理腔室。虽然将该多个基板安置于该处理腔室内的处理源的相对侧上,但未使处理环境彼此隔离。该处理源为一水平居中的垂直等离子体产生器,其允许在该等离子体产生器的任一侧上同时但以彼此独立的方式处理多个基板。将该系统配置为一双系统,藉此将各自具有其自己的处理腔室的两个相同处理线配置为彼此邻近。多个机器人用以自处理系统装载且卸载这些基板。每一机器人可使用该系统内的两个处理线。

Description

垂直直列CVD系统
发明背景
发明领域
本发明的实施例大体而言关于一种垂直化学气相沉积(chemical vapordeposition;CVD)系统。
相关技术的描述
CVD是一种将化学前驱物引入处理腔室中、发生化学反应以形成预定化合物或材料,及将该预定化合物或材料沉积于处理腔室内的基板上的工艺。存在若干种CVD工艺。一种CVD工艺为在腔室中点燃等离子体以增强前驱物之间的反应的等离子体增强化学气相沉积(plasma enhanced chemical vapordeposition;PECVD)。可藉由使用感应耦合等离子体源或电容耦合等离子体源而完成PECVD。
CVD工艺可用以处理大面积基板,诸如平板显示器或太阳电池板。CVD可用以沉积多层,诸如用于晶体管的硅基薄膜。在此项技术中需要一种降低平板显示器装置的制造成本的方法及设备。
发明内容
本发明大体而言关于一种垂直CVD系统,该垂直CVD系统具有能够处理多个基板的处理腔室。虽然将该多个基板安置于该处理腔室内的处理源的相对侧上,但未使处理环境彼此隔离。该处理源为水平居中的垂直等离子体产生器,该垂直等离子体产生器允许在该等离子体产生器的任一侧上同时但以彼此独立的方式处理多个基板。将该系统配置为双系统,藉此将各自具有自己的处理腔室的两个相同处理线配置为彼此邻近。多个机器人用以自处理系统装载且卸载基板。每一机器人可进入该系统内的两个处理线。
在一个实施例中,公开了一种设备。该设备包括:基板装载站;机器人,该机器人可操作以自基板堆迭模块取得基板及将该基板置放于该基板装载站中;装载锁定室,该装载锁定室耦合至该基板装载站。该装载锁定室具有安置于中心壁的相对侧上的两个基板位置;以及处理腔室,该处理腔室耦合至该装载锁定室。该基板装载站具有安置于中心壁的相对侧上的两个基板装载位置。该处理腔室具有安置于一或多个处理源的相对侧上的两个基板装载位置。
在另一实施例中,公开了一种设备。该设备包括:两个基板装载站;两个机器人,该两个机器人可操作以自基板堆迭模块取得基板;两个装载锁定室;以及两个处理腔室。每一基板装载站具有安置于中心壁的相对侧上的两个基板装载位置。每一机器人可操作以将基板置放于每一基板装载站中。每一装载锁定室耦合至相应的基板装载站,每一装载锁定室具有安置于中心壁的相对侧上的两个基板位置。每一处理腔室耦合至相应的装载锁定室,每一处理腔室具有安置于一或多个处理源的相对侧上的两个基板装载位置。
在另一实施例中,一种方法包括:使用第一机器人自第一基板堆迭模块取得第一基板;将该第一基板置放于第一位置中的第一基板装载站中;使用该第一机器人自该第一基板堆迭模块取得第二基板;将该第二基板置放于与该第一基板装载站分离的第二位置中的第二基板装载站中;使用第二机器人自第二基板堆迭模块取得第三基板;将该第三基板置放于与该第一位置分离的第三位置中的该第一基板装载站中;使用该第二机器人自该第二基板堆迭模块取得第四基板;以及将该第四基板置放于与该第二位置分离的第四位置中的该第二基板装载站中。
附图简要说明
因此,可通过参考实施例(其中一些实施例图示于附加附图中),获知上文所简要概述的本发明的更为具体的描述,从而可详细理解本发明的上述特征的方式。然而,应注意,附加附图仅图示本发明的典型实施例,且因此不欲视为本发明范畴的限制,因为本发明可允许其他同等有效的实施例。
图1为根据一个实施例的处理系统的示意图。
图2为图1的处理系统的示意俯视图。
图3为图1的处理系统的示意侧视图。
图4为图1的处理腔室的近视图。
图5为图1的处理系统的示意后视图。
图6A为图1的处理腔室的示意横截面图。
图6B为图1的处理腔室的部分侧视图。
图7为用于图1的处理系统的抽空系统的图解说明。
图8为图1的处理腔室的等角视图。
图9为用于图1的处理系统的基板定序的俯视图解说明。
图10A至图10C为图1的处理腔室的示意图。
图11A及图11B为用于图1的处理系统中的基板载体的示意图。
图12A及图12B为图示针对图1的处理系统将基板自装载锁定室转移至处理腔室的示意图。
图13为根据另一实施例的垂直CVD处理系统的示意等角视图。
图14为根据一个实施例的等离子体产生器的示意俯视图。
图15为根据另一实施例的垂直CVD处理系统的示意俯视图。
图16为根据另一实施例的垂直CVD处理系统的示意俯视图。
图17为根据另一实施例的垂直CVD处理系统的示意俯视图。
图18为根据另一实施例的垂直CVD处理系统的示意俯视图。
图19为根据另一实施例的垂直CVD处理系统的示意俯视图。
图20为根据一个实施例的垂直基板批式装载锁定系统的图解说明。
图21至图22为等离子体产生器的另一实施例的图解说明。
图23为根据另一实施例的静态PECVD系统的示意系统布局。
图24为根据另一实施例的静态PECVD系统的示意系统布局。
图25为根据另一实施例的动态PECVD系统2500的示意系统布局。
图26A至图26G为大气装卸站的示意图。
图27A至图27D为装载锁定移位机构的图解说明。
图28至图33图示用于处理系统及等离子体源的附加配置。
为促进理解,在可能情况下已使用相同元件符号来指定为附图所公用的相同元件。可预期可将一个实施例的元件及特征结构有益地并入未进一步叙述的其他实施例中。
详细说明
本发明大体而言关于一种垂直CVD系统,该垂直CVD系统具有能够处理多个基板的处理腔室。虽然将该多个基板安置于该处理腔室内的处理源的相对侧上,但未使处理环境彼此隔离。该处理源为水平居中的垂直等离子体产生器,该垂直等离子体产生器允许在该等离子体产生器的任一侧上同时但以彼此独立的方式处理多个基板。将该系统配置为双系统,藉此将各自具有自己的处理腔室的两个相同处理线配置为彼此邻近。多个机器人用以自处理系统装载且卸载基板。每一机器人可进入该系统内的两个处理线。
水平居中的垂直等离子体产生器为具有垂直于处理腔室内的等离子体源的等离子体产生器。应理解,所谓垂直,是指等离子体自接近或处于腔室底部的第一端延伸至接近或处于腔室顶部的第二端。应理解,所谓水平居中,是指等离子体源等间隔地介于处理腔室的两个壁或端之间。
可在可购自Applied Materials,Inc.(Santa Clara,California)的改进的AKTAristo系统中使用垂直CVD腔室来实施本文所论述的实施例。应理解,亦可在其他系统(包括由其他制造商出售的那些系统)中实施实施例。
图1为根据一个实施例的垂直、线性CVD系统100的示意图。系统100的大小可设定为在沉积2,000埃厚度的氮化硅薄膜时处理具有大于约90,000mm2的表面积的基板,且能够每小时处理大于90个基板。系统100较佳包括两个分离处理线114A、114B,处理线114A、114B由公用系统控制平台112耦合在一起以形成双处理线配置/布局。公用电源供应器(诸如,交流电源供应器)、公用及/或共享泵送及排气组件及公用充气板可用于双处理线114A、114B。对每小时大于90个基板的系统总量而言,每一处理线114A、114B每小时可处理大于45个基板。亦预期可使用单个处理线或大于两个处理线来配置系统。
用于垂直基板处理的双处理线114A、114B存在若干益处。因为腔室经垂直地配置,所以系统100的占地面积大约与单个、常规的水平处理线相同。因此,在大致相同的占地面积内,存在两个处理线114A、114B,此举有益于制造商在晶圆厂中节省占用面积。为帮助理解术语“垂直”的意义,考虑平板显示器。诸如计算机监视器的平板显示器具有长度、宽度及厚度。当平板显示器为垂直时,长度或宽度自地平面垂直延伸而厚度平行于地平面。相反地,当平板显示器为水平时,长度与宽度皆平行于地平面而厚度垂直于地平面。对大面积的基板而言,基板的长度及宽度比基板的厚度大许多倍。
每一处理线114A、114B包括基板堆迭模块102A、102B,自基板堆迭模块102A、102B取得新的基板(亦即,尚未在系统100内处理的基板)且储存经处理基板。大气机器人104A、104B自基板堆迭模块102A、102B取得基板且将基板置放于双基板装载站106A、106B中。应理解,尽管将基板堆迭模块102A、102B图示为具有沿水平定向堆迭的基板,但安置于基板堆迭模块102A、102B中的基板可类似于基板在双基板装载站106A、106B中所固持的方式沿垂直定向维持。随后,将新的基板移入双基板装载锁定室108A、108B中,且随后移动至双基板处理腔室1010A、1010B。随后,当下已处理的基板穿过双基板装载锁定室108A、108B中的一个而返回至双基板装载站106A、106B中的一个,其中该基板由大气机器人104A、104B中的一个取得且返回至基板堆迭模块102A、102B中的一个。
图2为图1的实施例的平面图。将同时就两个处理线114A、114B来论述顺序,即便基板仅沿一个路径下降。每一机器人104A、104B可沿公用轨道202移动。如将在下文所论述,每一机器人104A、104B可进入两个基板装载站106A、106B。有时候,用以经由处理线114A、114B输送基板的基板载体将需要维护以便修理、清洁或替换。因此,基板载体维护站204A、204B沿与装载锁定室108A、108B相对的处理线114A、114B耦合至处理腔室110A、110B。
为抽空装载锁定室108A、108B以及处理腔室110A、110B,一或多个真空泵206可耦合至装载锁定室108A、108B及处理腔室110A、110B。为抽空装载锁定室108A、108B,真空泵206自耦合至两个装载锁定室108A、108B的抽空线210抽出真空。为抽空处理腔室110A、110B,抽空线212、214、216、218、220、222、224、226耦合至处理腔室110A、110B。将在下文参阅图7进一步论述装载锁定室108A、108B及处理腔室110A、110B的抽空。
图3为系统100的侧视图。在操作期间,处理腔室110A、110B的温度可升高,且因此经受热膨胀。类似地,具有高温的基板可自处理腔室110A、110B进入装载锁定室108A、108B,此举可使装载锁定室108A、108B经受热膨胀。为补偿装载锁定室108A、108B的热膨胀,装载锁定室108A、108B可具有端302,端302邻近处理腔室110A、110B固定但允许装载锁定室108A、108B的剩余物以及邻近基板装载站106A、106B在由箭头“A”所示的方向上移动。类似地,处理腔室110A、110B可具有端304,端304邻近装载锁定室108A、108B固定,而处理腔室110A、110B的另一端以及基板载体维护站204A、204B可由于热膨胀在由箭头“B”所示的方向上移动。当处理腔室110A、110B因热膨胀而膨胀时,基板载体维护站204A、204B亦移动以允许处理腔室110A、110B膨胀。若当处理腔室110A、110B膨胀时基板载体维护站204A、204B未移动,则在炎热的夏日处理线114A、114B可非常类似铁路轨道而弯曲。类似地,当装载锁定室108A、108B膨胀时,基板装载站106A、106B亦移动以允许装载锁定室108A、108B膨胀。
图4为处理腔室110B的近视图,该近视图图示出允许处理腔室110B因热膨胀而移动的装备。应理解,尽管就处理腔室110B进行描述,但该描述将同样地适用于装载锁定室108B。将处理腔室110B安置于框架402上。处理腔室110B的端304具有固定点404及可沿安置于框架402上的一块低摩擦材料408移动的底座部分406。可用于低摩擦材料408的合适材料包括聚四氟乙烯。应理解,亦涵盖其他低摩擦材料。应理解,两个基板载体维护站204A、204B以及基板装载站106A、106B将具有安置于框架上的底座部分,该框架具有低摩擦材料以允许基板载体维护站204A、204B及基板装载站106A、106B移动。
图5为图示抽空系统的处理系统100的后视图。图6A及图6B为处理腔室110B的俯视图及部分侧视图,图示出抽空位置,用于将真空系统连接至此。抽空线212、214、216、218、220、222、224、226各自具有随后耦合至分离器导管504A-504D的垂直导管502A-502D。每一分离器导管504A-504D具有耦合至处理腔室110A、110B的两个连接点506A-506H。因此,对每一处理腔室110A、110B的每一侧面而言存在四个连接点。
图6A图示用于处理腔室110B的连接点602A-602D。处理腔室110B图示为具有两个基板载体604A、604B,在基板载体604A、604B上各自具有基板606A、606B。等离子体产生器608与气体引入导管610一样位于中心。等离子体产生器608为在处理腔室110A、110B内产生用于CVD的等离子体的微波源。电源614为等离子体产生器608供电。如图6B中所示,连接点602A、602I安置于腔室盖612的转角附近。因为连接点602A-602D安置于处理腔室110B的转角附近,所以可在腔室110B的所有区域中大体上均匀地抽空处理腔室110B。若仅使用一个抽空点,则与更远的位置相比在抽空点附近可存在更多真空。预期可能存在其他抽空连接,包括附加连接。
图7为根据一个实施例的抽空系统700的图解说明。每一处理腔室110A、110B可具有若干个真空泵702A-702H,而非单个真空泵。每一垂直线502A-502H在耦合至连接点602A-602P之前分成分离器导管504A-504H。节流阀704可定位于连接点602A-602P与分离器导管504A-504H之间以控制各自对应的处理腔室110A、110B的真空度。应理解,抽空系统700适用于具有较少真空泵的系统。若耦合至处理腔室的真空泵中的一个不工作,则耦合至处理腔室的其他真空泵可能补偿不工作的泵,以便处理腔室可维持预定的真空度。
装载锁定室108A、108B可由耦合至装载锁定室108A、108B的连接点708A、708B的公用真空泵706抽空。双向阀710可存在于真空泵706与连接点708A、708B之间以控制装载锁定室108A、108B的真空度。
图8为与处理腔室110B间隔的腔室盖612的侧面透视图。为维护处理腔室110B,盖612可如箭头“C”所示藉由在点802A、802B处使垂直导管502A、502E与抽空线224、226分离而加以移动。因此,在不必拆卸整个抽空系统700或移动大量、重型系统组件的情况下,可移动盖612。可藉由使用诸如起重机或液压升降机的移动装置使盖612滑动离开处理腔室110B来移动盖612。
图9图示机器人104A、104B自基板堆迭模块102A、102B移除基板906且将基板906置放于基板装载站环境902A-902D中的顺序。基板装载站106A、106B图示为具有两个分离环境902A-902D。在每一环境中,基板载体904面向不同的方向。因此,当基板906安置于基板装载站环境902A-902D内时,基板906由每一分离基板装载站106A、106B内的载体904间隔开。
机器人104A自基板堆迭模块102A取得基板906且沿轨道202移动以将基板906置放于环境902B或环境902D中。当机器人104A将基板906置放于环境902B、902D中时,基板906被置放于载体904上,以使得基板906面向离开载体904的箭头「E」的方向。类似地,机器人104B自基板堆迭模块102B取得基板906且沿轨道202移动以将基板906置放于环境902A或环境902C中。当机器人104B将基板906置放于环境902A、902C中时,基板906被置放于载体904上,以使得基板906面向离开载体904的箭头“D”的方向。因此,两个机器人104A、104B皆可使用相同的基板装载站106A、106B且沿相同的轨道202移动。然而,每一机器人104A、104B进入基板装载站106A、106B的分离环境902A-902D且仅可将基板906置放于面向特定方向的各自对应的载体904上。
图10A至图10C为根据一个实施例的双处理腔室110A、110B的示意图。双处理腔室110A、110B包括以线性配置安置于每一处理腔室110A、110B的中心的多个微波天线1010。天线1010自处理腔室的顶部垂直延伸至处理腔室的底部。每一微波天线1010在耦合至微波天线1010的处理腔室的顶部与底部皆具有相应的微波功率头1012。如图10B中所示,微波功率头1012为交错的。交错可归因于间隔限制。可经由每一功率头1012将功率独立地施加于天线1010的每一端。微波天线1010可在300MHz及300GHz的范围内的频率下操作。
处理腔室中的每一个被配置以能够处理两个基板,微波天线1010的每一侧上有一个基板。基板藉由平台1008及遮蔽框架1004固持于处理腔室中的适当位置。气体引入管1014安置于邻近微波天线1010之间。气体引入管1014自平行于微波天线1010的处理腔室的底部垂直延伸至顶部。气体引入管1014允许引入诸如硅前驱物及氮前驱物的处理气体。尽管未图示于图10A至图10C中,但处理腔室110A、110B可经由位于基板载体1008之后的泵送口来抽空。
图11A及图11B为用以在装载锁定室108A、108B与处理腔室110A、110B之间移动基板的基板载体904的图解说明。基板906由机器人104A、104B置放于基板载体904上,而基板载体904位于装载站106A、106B中。基板载体904包括平板基座部分1108及垂直于平板基座部分1108延伸的干管(trunk)1102。平板基座部分1108具有对准轨道1110,在输送期间令基板906倚靠于对准轨道1110上。对准轨道1110具有多个凹槽1112。使用凹槽1112以允许举升销啮合基板906且自基板载体904移除基板906。存在垂直于干管1102延伸的三个指状件1104。在一个实施例中,指状件1104可由碳纤维材料制造。基板906当承载在基板载体904上时为倾斜的,以使得基板904在接近垂直而非恰好垂直的位置(诸如,与垂直偏移3度至6度)定位于载体904上。因为基板906并非恰好垂直,所以基板906靠在指状件1104上。平板基座部分1108具有沿平板基座部分1108的长度延伸的两个长槽1130。长槽1130提供了轨道,用以移动基板载体904的滚轮1124将在该轨道中旋转。
基板载体904穿过将开口1118密封于装载锁定室主体中的流量阀门自基板装载站106A、106B进入装载锁定室108A、108B。在装载锁定室108A、108B内,基板载体904安置于载体移动机构1122上,载体移动机构1122具有经线性配置以啮合基板载体904的长槽1130的多个滚轮1124。基板载体904可如箭头“F”所示沿载体移动机构1122线性地移动。每一载体移动机构1122的大小设定为接收两个基板载体904且使载体移动穿过系统100。载体移动机构1122可如箭头“G”所示在装载锁定室108A、108B内横向移动,以能够自基板装载站106A、106B接收基板载体904或将基板载体904输送至基板装载站106A、106B。另外,载体移动机构1122可如箭头“G”所示在装载锁定室108A、108B内横向移动,以能够穿过流量阀开口1120自处理腔室110A、110B接收基板载体904或将基板载体904输送至处理腔室110A、110B。致动器(未图示)提供了运动,以允许载体移动机构1122沿由箭头“G”所示的方向横向平移。
基板载体904进入处理腔室110A、110B以将基板906定位于处理腔室110A、110B内。随后,基板载体904缩回进入装载锁定室108A、108B,而基板906留在处理腔室110A、110B内以供处理。基板载体904在存在于处理腔室载体移动机构1126中的滚轮1128上移入处理腔室110A、110B中。载体移动机构1122包括未图示的马达,该马达为使载体运动的滚轮1124供电。滚轮1128经线性配置以啮合存在于基板载体904中的长槽1130。
处理腔室110A、110B不仅具有微波天线608、平台1008及遮蔽框架1004,而且具有处理腔室载体移动机构1126。类似于存在于装载锁定室108A、108B中的载体移动机构1122,处理腔室载体移动机构1126具有经线性配置以啮合存在于基板载体904的底表面中的长槽1130的多个滚轮1128。处理腔室载体移动机构1126包括未图示的马达,该马达为使载体运动的滚轮1128供电。处理腔室载体移动机构1126亦能够如箭头“H”所示横向平移,以允许基板906一旦由平台1008及遮蔽框架1004啮合则移入邻近微波天线608的处理位置。致动器(未图示)提供了运动,以允许处理腔室载体移动机构1126沿由箭头“H”所示的方向横向平移。
为了自基板载体904接收基板906,基板906由举升销致动系统举升离开对准轨道1110。举升销致动系统包括举升销支撑结构1116及支撑结构移动机构1114。支撑结构移动机构1114可如箭头“H”所示在处理腔室载体移动机构1126上横向且垂直地移动,以移动举升销支撑结构1116。
图12A及图12B为图示将基板906自基板载体904转移至处理腔室110A、110B的示意图。基板载体904穿过流量阀开口1120进入处理腔室110A、110B。基板906和基板载体904的指状件1104在平台1008与遮蔽框架1004之间移动。支撑结构移动机构1114移动举升销支撑结构1116,以将支撑销1202及底部销1204移入用以自基板载体904接收基板的位置。支撑销1202啮合基板906且将基板906自倾斜位置移动至与指状件1104间隔的大体上垂直位置。底部销1204穿过基板下方的对准轨道1110的凹槽1112,而非啮合基板906。底部销1204在与举升销支撑结构1116相对的尖端上具有放大销头。底部销1204的销头延伸超过基板906且比支撑销1202更远离举升销支撑结构1116延伸。随后,举升销支撑结构1116如箭头“I”所示垂直移动,以自载体904举升基板906。随后,载体904可自处理腔室110A、110B缩回进入装载锁定室。遮蔽框架1004由耦合于平台1008与遮蔽框架1004之间的致动器(未图示)朝平台1008移动,以将基板906夹持于遮蔽框架1004与平台1008之间。随后,基板906及平台1008如箭头“J”所示横向移动,以将基板定位于邻近微波天线608的处理位置。在处理期间,底部销1204可持续支撑基板906。
为了处理系统100中的基板,首先大气机器人104A、104B自基板堆迭模块102A、102B取得基板且将该基板置放于双基板装载站106A、106B中。在一个实施例中,基板可置放于双基板装载站106A、106B中的基板载体904上。流量阀门开启且随后基板及基板载体904穿过开口1118进入装载锁定室108A、108B。随后,将装载锁定室108A、108B抽空以接近邻近处理腔室110A、110B的真空度。随后,流量阀门开启且随后基板及基板载体904穿过开口1120进入处理腔室110A、110B。支撑结构移动机构1114致动,以沿由箭头“H”所示的方向移动举升销支撑结构1116,藉此底部销1204穿过对准轨道1110中且在基板下方的凹槽1112,同时支撑销啮合基板的背侧。随后,举升销支撑结构1116垂直致动,以沿由箭头“I”所示的方向移动支撑销1202及底部销1204以使基板自基板载体904脱离。随后,基板载体904自处理腔室110A、110B缩回且流量阀门闭合。随后,当平台1008、基板及遮蔽框架1004移动至更接近微波天线608的位置以供处理时,基板夹于遮蔽框架1004与平台1008之间。在处理之后,倒转顺序以自系统100移除基板。
图13为根据另一实施例的垂直CVD处理系统1300的示意等角视图。系统1300包括处理线的每一端上的玻璃装卸站1302a、1302b。处理线包括邻近于每一装卸站1302a、1302b的装载锁定室1304a、1304b。亦存在处理腔室1306。亦存在邻近于装卸站1302a、1302b的装卸机器人1308a、1308b。在图13所示的实施例中,系统1300为将在下文所论述的静态系统。可容易地将系统1300修改成动态系统,如下文所论述。
对于静态操作而言,可为水平且大体上平行于地面的基板可耦合至机器人1308a的端效器1310。机器人1308a可视需要旋转,以操纵基板进入相对于先前水平位置的垂直定向。随后,将基板置放于装载站1302a中。基板载体(未图示)可夹持基板至垂直位置且随后输送基板穿过系统1300。可经修改以受益于本发明且用于系统1300中的基板载体在以上所提及的Aristo系统中可购得。可替代地使用其他合适的线性马达、致动器或运输装置。
一旦将基板适当地固定于载体的垂直定向,则装载锁定室1304a上的流量阀1310a开启且载体将基板输送至装载锁定室1304a中。将基板固定于装载锁定室1304a内的载体,而装载锁定室1304a由流量阀1312a与处理腔室1306环境性地隔离且由流量阀1310a与装载站1302a环境性地隔离。尽管基板及载体安置于装载锁定室1304a内,但另一基板可装载至位于装载站1302a的另一基板载体。一旦处理腔室1306准备好接收基板,则流量阀1312a开启且载体将基板移入处理腔室1306内的位置。一旦流量阀1312a处于处理腔室1306内,则流量阀1312a闭合,以环境性地隔离处理腔室1306。可使载体在处理腔室1306内沿x方向来回振动,以解决处理腔室1306内的处理条件中的非均匀性。处理腔室1306可含有可由任何数量的源供电的等离子体产生器,这些源诸如微波(例如,重复性与重现性类型)、感应耦合等离子体(例如,平衡与非平衡)、电容耦合等离子体(相位调变或非相位调变)或其他合适的等离子体产生源。等离子体产生器可为线性等离子体源或线性等离子体源的阵列,例如,等离子体产生器可为包含线性等离子体源或两个或两个以上线性等离子体源的阵列的等离子体产生器。每一(各个)线性源由金属天线组成,金属天线为实心或空心的、具有任意横截面(圆形、矩形等)且具有比该金属天线的横截面的特征尺寸大得多的长度;天线可直接暴露于等离子体或嵌入介电质中(注意:介电质应理解为实心绝缘体或实心绝缘体加空气/气体间隙或多个间隙),且在约400kHz至高达约8GHz的频率范围中由射频功率供电。线性源可在一端或两端处用一或两个RF产生器供电。又,一个产生器可为一个线性等离子体源或并联或串联的若干源或两者的组合供电。可同相或异相地驱动天线端;驱动电压的相位可由被动元件(LC)调整或在产生器侧上主动改变;相位调整可为静态或动态的(在时间上变化)。另外,在使用两个或两个以上RF产生器时,产生器可以略不同的频率操作,此举亦动态地改变驱动电压的相位。
另外,处理腔室1306不需单独为CVD腔室。因为处理腔室1306由流量阀1312a、1312b与装载锁定室1304a、1304b环境性地隔离,所以处理腔室1306能够执行其他等离子体工艺,诸如蚀刻工艺,蚀刻工艺所使用的可损坏腔室的其他部分的气体较昂贵及/或可造成环境危害。在处理期间,处理腔室1306可由一或多个真空泵(未图示)抽空。另外,可将处理气体自一或多个气体源引入处理腔室1306中。
在完成处理腔室1306内的处理后,流量阀1312b开启且将带有基板的载体输送至下一装载锁定室1304b中。随后,流量阀1312b闭合以使处理腔室1306与装载锁定室1304b环境性地隔离。随后,流量阀1310b开启以允许将载体及基板输送至另一装卸站1302b。
一旦基板处于装卸站1302b,则在需要时可由机器人1308b自装卸站1302b移除基板。或者,基板可留在装卸站1302b中的载体中。装卸站1302b可旋转且允许基板及载体行进穿过装载锁定室1304a、1304b及位于那些各自对应的腔室的另一侧的处理腔室1306。在穿过处理腔室1306过程中,第一次穿过处理腔室1306时在处理腔室1306中处理的基板的相同表面被第二次处理。另外,在需要时可出现相同的处理,因为相同的处理源可用于各次穿过处理腔室1306。
在第二次在处理腔室1306中处理之后,随后,基板及载体将退出装载锁定室1304a且置放于装卸站1302a中。可使基板及载体旋转180度至机器人1308将自载体卸载基板的位置。
装载锁定室1304a、1304b可视需要配备有两个加热元件或冷却元件,以在进入处理腔室1306之前预加热基板或在退出装载锁定室1304a、1304b至装卸站1302a、1302b之前冷却经处理基板。红外线加热源可用于预加热于装载锁定室1304a、1304b中的基板。
输送基板穿过系统1300的载体在处于装卸站1302a、1302b的大气环境与处于装载锁定室1304a、1304b及处理腔室1306内的真空环境之间移动。当在真空环境内时,可将载体加热至处理温度;但当该载体处于大气中时,存在载体温度可能降低的可能性。温度循环(亦即,反复改变载体温度)可导致材料自载体剥落。在处理处理腔室1306中的基板的过程期间,剥落的材料可沉积于载体上。载体的加热及冷却可因载体的收缩及膨胀而导致剥落。为防止剥落,当载体位于大气环境时,可加热载体。当载体位于装卸站1302a、1302b上时,载体可由诸如红外线加热器或热板的加热源来加热。
图14为处理腔室1306的示意俯视图。处理腔室包括等离子体产生器1402、一或多个排气装置1404及用于引入处理气体的一或多个气体分配器1406。流量阀1312a、1312b图示用于开启及闭合,以密封处理腔室1306及以允许基板进入和退出处理腔室1306。在处理期间,当处理一个基板时,同时处理第二基板且沿相反方向使该第二基板行进于装载锁定室之间。因此,沿相反方向行进穿过系统1300的两个基板可在处理腔室1306内在等离子体产生器1402的相对侧上同时加以处理。
可对系统1300进行少许修改,以允许系统1300作为动态系统而非静态系统来运作。在系统的动态操作中,基板、机器人1308a、1308b、装卸站1302a、1302b及流量阀1310a、1310b将与静态系统相同,然而,其他方面可略有不同。因为系统为动态系统,所以载体将连续地且在处理腔室1306内移动。因此,基板及载体将需要被加速至大体上恒定的速度以供横穿处理腔室1306。为适当地加速载体及基板1408,可使装载锁定室1304a、1304b延长以允许基板1408及载体加速至所要速度。或者,处理腔室1306可包括安置于处理部分与装载锁定室1304a、1304b之间的整备部分,以允许加速/减速。档板或气体幕可提供于处理部分与整备部分之间,以包含沉积气体及对处理部分的清洁。流量阀1312a、1312b可由档板或气体幕替换,该档板或气体幕仅允许基板1408及载体穿过该档板或气体幕而进入处理腔室1306。一旦载体处在处理腔室1306中,则以大体上恒定的速度移动载体,以确保最先进入处理腔室1306的基板1408的部分接收与最后进入处理腔室1306的基板1408的部分大体上相同的条件(亦即,暴露于处理条件的时间)。自然地,因为在装载锁定室1304a、1304b中存在足够的空间来加速基板1408及载体,所以在开启各自对应的流量阀1310a、1310b以移动基板1408及载体至装卸站1302a、1302b之前存在足够的空间来减速载体及基板1408。
图15为根据另一实施例的垂直CVD处理系统1500的示意俯视图。系统1500包括具有由壁分离的环境性隔离区域1524a-1524d的两个双轨道装载锁定室1522a、1522b。处理腔室1504耦合于装载锁定室1522a、1522b之间。处理腔室1504具有处理部分1508,处理部分1508具有可为如上关于图14所论述的处理源的一或多个处理源1520。加速/减速部分1506a、1506b位于处理部分1508的任一侧。每一加速/减速部分1506a、1506b耦合至各自对应的装载锁定室1522a、1522b。藉由开启各自对应的环境性隔离区域1524a-1524d的门1514a-1514d及将基板1518置放于载体上,可将基板1518装载于装载锁定室1522a、1522b中的载体(未图示)上。可藉由相应的机器人1510a-1510d自基板储存单元1512a-1512d移除基板1518且将基板置放于载体上。类似地,在处理之后,基板1518可由机器人1510a-1510d自载体移除且置放于基板储存单元1512a-1512d中以等待进一步处理。由于装载锁定室1522a、1522b同时处理两个基板,所以藉由减少每基板泵循环数而增加产量。
系统1500可作为动态处理系统操作。基板1518可由机器人1510a自基板储存单元1512a获得。环境性隔离区域1524a的门1514a可开启且两个基板1518可置放于存在于环境性隔离区域1524a中的各自对应的载体上。随后,门1514a闭合。随后,流量阀门1516a开启且基板及载体移动穿过流量阀门1516a。一旦基板1518及载体完全位于加速/减速部分1506a内,则流量阀门1516a闭合。随后,使外轨道上的基板1518及载体加速至大体上恒定的速度。一旦基板1518及载体处于恒定的速度,则基板1518及载体穿过界定加速/减速部分1506a与处理部分1508之间的边界的档板1526a。一旦清除外轨道上的载体,则内轨道上的基板及载体向外移动至外轨道上。基板1518及载体以大体上恒定的速度移动穿过处理部分1508,以便整个基板1518暴露于处理条件历时大体上相同的时间量。藉由允许基板暴露于处理条件历时大体上相同的时间量,可获得基板1518的均匀处理。亦预期穿过部分1508的速度可变化。藉由穿过界定处理部分1508与加速/减速区域1506b之间的边界的档板1526b,基板1518及载体移出处理部分1508。一旦基板1518及载体位于加速/减速区域1506b中,则基板1518及载体减速且甚至可停止。将第一基板及载体移动至内轨道,以允许基板及载体返回至平行定向以促进同时进入装载锁定室1522b。随后,流量阀1516d开启以允许基板1518及载体移入装载锁定室1522b的环境性隔离区域1524d中。环境性隔离区域1524的门1514d开启,且机器人1510d自载体取得基板1518且将基板1518置放于基板储存单元1512d中。亦可自系统1500移除载体且将该载体输送回至装载锁定室1522a的环境性隔离区域1524a。因为载体暴露于大气中,所以载体可经受温度循环。因此,装载锁定室1522a、1522b可各自配备有可存在的加热元件。另外,冷却装置可存在于装载锁定室1522a、1522b中,以将基板在自装载锁定室1522a、1522b移除之前冷却至所要温度。
与刚才所描述的工艺同时,基板1518可穿过处理腔室的相对侧沿相反方向移动穿过系统1500。基板1518可由机器人1510c自基板储存单元1512c取得,机器人1510c将基板1518置放于存在于装载锁定室1522b的环境性隔离区域1524c中的载体中。随后,环境性隔离区域1524c的门1514c闭合。流量阀门1516c可开启以允许基板1518及载体移入加速/减速区域1506b。可使基板1518及载体加速至所要速度,且随后穿过档板1526b进入处理部分1508。基板1518及载体可以如上所论述的大体上恒定的速度移动穿过处理部分1508,且随后穿过档板1526a退出处理部分1508进入加速/减速部分1506a。在流量阀门1516b开启以允许载体及基板1518进入装载锁定室1522a的环境性隔离区域1524b之前,基板1518及载体可减速乃至停止。一旦基板1518及载体位于环境性隔离区域1524b中,则流量阀门1516b闭合而装载锁定门1514b开启。随后,机器人1510b自载体取得基板1518且将基板1518置放于基板储存单元1512b中以供进一步处理。因此,系统1500允许动态处理相同处理部分1508内的多个基板1518。
图16为根据另一实施例的垂直CVD处理系统1600的示意俯视图。系统1600包括具有由壁分离的环境性隔离区域1624a-1624d的两个双轨道装载锁定室1622a、1622b。处理腔室1604耦合于装载锁定室1622a、1622b之间。处理腔室1604具有处理区域1608,处理区域1608具有可为如上关于图14所论述的处理源的一或多个处理源1620。加速/减速区域1606a、1606b位于处理区域1608的任一侧。每一加速/减速区域1606a、1606b耦合至各自对应的装载锁定室1622a、1622b。藉由开启各自对应的环境性隔离区域1624a-1624d的门1614a-1614d及将基板1618置放于类似于针对图15的系统1500所描述的载体的载体上,可将基板1618装载于装载锁定室1622a、1622b中的载体(未图示)上。可藉由相应的机器人1610a-1610d自基板储存单元1612a-1612d移除基板1618且将基板1618置放于载体上。类似地,在处理之后,基板1618可由机器人1610a-1610d自载体移除且置放于基板储存单元1612a-1612d中以等待进一步处理。
系统1600可作为动态往复式处理系统操作。基板1618可由机器人1610a自基板储存单元1612a获得。环境性隔离区域1624a的门1614a可开启且基板1618可置放于存在于环境性隔离区域1624a中的载体上。随后,门1614a闭合。随后,流量阀门1616a开启且基板1618及载体移动穿过流量阀门1616a。一旦基板1618及载体完全位于加速/减速区域1606a内,则流量阀门1616a闭合。随后,使基板1618及载体加速至大体上恒定的速度。一旦基板1618及载体处于恒定的速度,则基板1618及载体穿过界定加速/减速区域1606a与处理区域1608之间的边界的档板1626a。基板1618及载体以大体上恒定的速度移动穿过处理区域1608,以便整个基板1618暴露于处理条件历时大体上相同的时间量。藉由允许基板暴露于处理条件历时大体上相同的时间量,可获得基板1618的均匀处理。藉由穿过界定处理区域1608与加速/减速区域1606b之间的边界的档板1626b,基板1618及载体移出处理区域1608。一旦基板1618及载体位于加速/减速区域1606b中,则基板1618及载体减速至停止。随后,基板1618及载体倒转方向。使基板1618及载体加速至大体上恒定的速度,且随后允许再次穿过档板1626b进入处理区域1608,以便第二次处理基板1618的相同侧。基板1618及载体穿过档板1626b返回进入加速/减速区域1606a(基板1618及载体在该加速/减速区域1606a中减速且甚至可停止)。流量阀门1616a开启且载体及基板1618移入装载锁定室1622a的环境性隔离区域1624a。门1614a开启,且基板1618由机器人1610a取得且置放于基板储存单元1612a中。随后,可自基板储存单元1612a移除基板1618且机器人1610b将基板1618置放于载体中,以藉由移动基板1618及载体穿过系统1600来重复相同的工艺。
装载锁定室1622a、1622b可各自配备有加热元件。另外,冷却装置可存在于装载锁定室1622a、1622b中,以将基板1618在自装载锁定室1622a、1622b移除之前冷却至所要温度。
与刚才所描述的工艺同时,基板1618可自系统1600的相对侧移动穿过系统1600。基板1618可由机器人1610c自基板储存单元1612c取得,机器人1610c将基板1618置放于存在于装载锁定室1622b的环境性隔离区域1624c中的载体中。随后,环境性隔离区域1624c的门1614c闭合。流量阀门1616c可开启以允许基板1618及载体移入加速/减速区域1606b。可使基板1618及载体加速至所要速度,且随后穿过档板1626b进入处理区域1608。基板1618及载体可以如上所论述的大体上恒定的速度移动穿过处理区域1608,且随后穿过档板1626a退出处理区域1608进入加速/减速区域1606a。基板1618及载体可减速乃至停止。随后,基板1618及载体可倒转方向,以便可在加速/减速区域1606a内第二次处理基板的相同侧。使基板1618及载体加速至大体上恒定的速度且随后穿过档板1626a进入处理区域1608。基板1618及载体藉由穿过档板1626b退出处理区域1608进入加速/减速区域1606b。随后,基板1618及载体穿过流量阀门1616c进入装载锁定室1622b的环境性隔离区域1624c。门1614c开启,且机器人1610c取得基板1618且将基板1618置放于基板储存单元1612c中。随后,可藉由机器人1610c自基板储存单元1612c移除基板1618且将基板1618置放于载体中,以藉由移动基板1618及载体穿过系统1600来重复相同的工艺。同时,在等离子体处理源1620的相对侧上处理来自储存单元1612a-1612d的基板。
图17为根据另一实施例的垂直CVD处理系统1700的示意俯视图。存在机器人1702a、1702b,该机器人1702a、1702b用于自基板储存单元1706a、1706b装载基板1704或将基板1704卸载至基板储存单元1706a、1706b及将基板1704置放于载体中或自载体移除基板1704,这些载体移动基板1704穿过处理系统1700。处理系统1700亦包括基板装卸站1706,基板装卸站1706用作安置于装载锁定室1708附近的输入装载锁定室。装载锁定室1708耦合至处理腔室1710。处理腔室1710具有处理区域1712a、1712b及基板移位区域1714。可如以上参阅图14所描述来配置处理腔室1710。
在操作中,基板1704由机器人1702a自基板储存单元1706a取得。装卸站1706的门1716a开启且基板1704置放于载体上。门1716a闭合且装卸站1706被抽空。随后,流量阀门1718a开启且基板1704及载体移入处理腔室1710的第一部分1720。当基板1704及载体位于第一部分1720时,使基板1704及载体加速至大体上恒定的速度。随后,基板1704及载体穿过档板1722a以进入处理腔室1710的处理区域1712a。处理区域1712包括如上关于图14所论述的处理源1724。当基板1704及载体移动穿过处理区域1712a时,处理基板1704。基板1704及载体穿过档板1726a进入基板移位区域1714。一旦基板1704及载体位于基板移位区域1714中,则基板1704及载体沿由箭头K所示的方向移位至外返回轨道。随后,基板1704及载体穿过可选的档板1726b经过处理区域1712a的外。基板1704及载体由壁1728a与处理源1724分离。随后,流量阀门1730a开启以允许基板1704及载体进入装载锁定室1708。随后,流量阀门1718b可开启以允许基板1704及载体可退出装载锁定室1708,在装载锁定室1708中基板1704可由机器人1702a取得且置放于基板储存单元1706a中。应注意,在图17所示的实施例中,装载锁定室1708相对于装卸站1706交错,以便退出腔室1708的载体可直接进入站1706以最小化来自载体的热损失。在载体位于邻近于站1706的区域时,载体亦可由红外线灯或其他合适的加热器加热以最小化载体的热循环。
与刚才所描述的工艺同时,基板1704由机器人1702b自基板储存单元1706b取得。装卸站1706的门1716b开启且基板1704置放于载体上。门1716b闭合且站1706被抽空。随后,流量阀门1718c开启且基板1704及载体移入处理腔室1710的第一部分1720。当基板1704及载体位于第一部分1720时,使基板1704及载体加速至大体上恒定的速度。随后,基板1704及载体穿过档板1722b以进入处理腔室1710的处理区域1712b。当基板1704及载体移动穿过处理区域1712时,处理基板1704。基板1704及载体穿过档板1726c进入基板移位区域1714。一旦基板1704及载体位于基板移位区域1714中,则基板1704及载体沿由箭头L所示的方向移位至外返回轨道。随后,基板1704及载体穿过档板1726d经过处理区域1712b的外。基板1704及载体由壁1728b与处理源1724分离。随后,流量阀门1730b开启以允许基板1704及载体进入装载锁定室1708。随后,流量阀门1718d可开启以允许基板1704及载体退出装载锁定室1708,在装载锁定室1708中基板1704可由机器人1702b取得且置放于基板储存单元1706b中。随后,载体被返回至类似于如上所述的站1706以处理另一基板。
应理解,尽管系统1700已被描述为动态系统,但系统1700可作为静态系统操作,藉此档板替换为流量阀且基板及载体在处理区域内振动。加热元件或红外线加热源可存在于装卸站1706内,以将载体维持于可接受温度且防止热循环。
图18为根据另一实施例的垂直CVD处理系统1800的示意俯视图。处理系统1800包括装载锁定室1802及处理腔室1804。处理腔室1804包括加速/减速区域1806、具有处理源1810的处理区域1808及另一加速/减速区域1812。
在操作中,基板由机器人1826a自基板储存单元1828a取得。装载锁定室1802的第一环境性隔离区域1824a的门开启且机器人1826a将基板置放于装载锁定室1802中的载体1818上。在一个实施例中,将两个基板装载于安置于装载锁定室1802中的各自对应的载体上。亦预期可使用单个基板批式装载锁定室。门1822a闭合且将环境性隔离区域1824a抽空。在需要时,可加热基板。随后,基板及载体1818准备移入处理腔室1804。流量阀门1820a开启且载体1818及基板移入处理腔室1804的加速/减速区域1806。当轨道上的载体1818及基板位于处理腔室1804的加速/减速区域1806中时,使载体1818及基板加速至大体上恒定的速度,该轨道延伸穿过处理腔室1804。另一载体及基板被侧向移位,以线性地跟随基板穿过处理腔室1804。随后,基板及载体1818穿过档板1830至处理基板的处理区域1808。随后,基板及载体1818穿过另一档板1832进入处理腔室1804的加速/减速区域1812。随后,基板及载体1818移动至转盘1814上,转盘1814沿由箭头M所示的方向使基板与载体1818旋转。随后,基板及载体1818再次进入加速/减速区域1812,在加速/减速区域1812中基板及载体1818在穿过档板1832进入处理区域1808之前加速至大体上恒定的速度。随后,当基板穿过处理区域1808时,在先前处理的基板的相同侧再次处理该基板。随后,基板及载体1818再次穿过档板1830进入载体1818及的加速/减速区域1806(基板在该的加速/减速区域1806中减速且甚至可停止)。基板被侧向移动以允许随后的基板及载体移入平行定向以进入装载锁定室。随后,流量阀门1820b开启且基板及载体1818移入装载锁定室1802的环境性隔离区域1824b。流量阀门1820b闭合而装载锁定门1822b开启。机器人1826b自载体1818取得基板且将基板置放于基板储存单元1828b中。载体1818移动至转盘1816上(使载体1818在该转盘1816中如由箭头N所示旋转),以便载体1818可进入装载锁定室1802的环境性隔离区域1824a以接收另一基板。随后,将第二空载体导引至转盘1816,以如上所述将第二基板定位于区域1824a中。
图19为根据另一实施例的垂直CVD处理系统1900的示意俯视图。存在机器人1902a、1902b,机器人1902a、1902b用于自基板储存单元1906a、1906b装载基板1904或将基板1904卸载至基板储存单元1906a、1906b及将基板1904置放于载体中或自载体移除基板1904,所述这些载体移动基板1904穿过处理系统1900。处理系统1900亦包括基板装卸站1906,基板装卸站1906安置于装载锁定室1908附近。装卸站1906及装载锁定室1908足够长,以在单个载体或分离载体上端对端地容纳两个基板。装载锁定室1908耦合至处理腔室1910。处理腔室1910具有处理区域1912a、1912b及基板移位区域1914。
在操作中,基板1904由机器人1902a自基板储存单元1906a取得。装卸站1906的门1916a开启且基板1904置放于第一载体上。另一基板1904由机器人1902a取得且置放于第二载体上。门1916a闭合且装卸站1906被抽空。随后,流量阀门1918a开启且基板1904及载体移入处理腔室1910的第一部分1920。当基板1904及载体位于第一部分1920时,使基板1904及载体加速至大体上恒定的速度。预加热器1940可存在于第一部分1920中。随后,基板1904及载体穿过档板1922a以进入处理腔室1910的处理区域1912a。处理区域1912a包括如上关于图14所论述的处理源1924。处理区域1912a亦包括用于自处理区域1912a引入或抽空处理气体的开口1926。当基板1904及载体移动穿过处理区域1912a时,处理基板1904。基板1904及载体穿过档板1926a进入基板移位区域1914。一旦基板1904及载体位于基板移位区域1914中,则基板1904及载体沿由箭头O所示的方向移位至外返回轨道。随后,基板1904及载体倒转方向且穿过档板1926b经过处理区域1912a之外。基板1904及载体由壁1928a与处理源1924分离。随后,流量阀门1930a开启以允许基板1904及载体进入装载锁定室1908。在装载锁定室1908已排气后,随后,流量阀门1918b可开启以允许基板1904及载体退出装载锁定室1908,在装载锁定室1908中基板1904可由机器人1902a取得且置放于基板储存单元1906a中。应注意,在图19所示的实施例中,装载锁定室1908相对于装卸站1906交错。应理解,装载锁定室1908及装卸站1906未必为交错的且可包含分离或单一装载锁定室。
与刚才所描述的工艺同时,基板1904由机器人1902b自基板储存单元1906b取得。装卸站1906的门1916b开启且基板1904置放于第三载体上。另一基板1904由机器人1902b取得且置放于第四载体上。门1916b闭合且装卸站1906被抽空。随后,流量阀门1918c开启且基板1904及载体移入处理腔室1910的第一部分1920。当基板1904及载体位于第一部分1920时,使基板1904及载体加速至大体上恒定的速度。预加热器1940可存在于如上所论述的第一部分1920中。随后,基板1904及载体穿过档板1922b以进入处理腔室1910的处理区域1912b。当基板1904及载体移动穿过处理区域1912b时,处理基板1904。基板1904及载体穿过档板1926c进入基板移位区域1914。一旦基板1904及载体位于基板移位区域1914中,则沿由箭头H所示的方向移位基板1904及载体。随后,基板1904及载体倒转方向且穿过档板1926d经过处理区域1912b的外。基板1904及载体由壁1928b与处理源1924分离。随后,流量阀门1930b开启以允许基板1904及载体进入装载锁定室1908。在装载锁定室1908已排气后,随后,流量阀门1918d可开启以允许基板1904及载体退出装载锁定室1908,在装载锁定室1908中基板1904可由机器人1902b取得且置放于基板储存单元1906b中。
应了解,尽管系统1900已被描述为动态系统,但系统1900可作为静态系统操作,藉此档板替换为流量阀且基板及载体在处理区域内振动。加热元件或红外线加热源可存在于装卸站1906内,以如上所述将载体维持于可接受温度且防止热循环。
图20为根据一个实施例的垂直基板批式装载锁定系统2000的图解说明。装载锁定系统2000包括装载锁定室主体2002,该主体2002内可含有一批基板2004。基板2004可各自耦合至沿由箭头Q所示的方向线性地致动基板2004的分度(indexing)机构706,以允许基板2004由机器人取得以供插入载体上及穿过处理腔室。应理解,垂直基板批式装载锁定系统2000可用于以上所论述的任何实施例。另外,装载锁定系统2000可以端对端的方式容纳安置于主体2002内的两个基板。
图21至图22为等离子体产生器2100的另一实施例的图解说明。等离子体产生器2100包括用于提供诸如Ar、Xe及/或Kr的激发气体的供给导管2102。石英管2104环绕等离子体产生器2106。石英管2104包括窗口,该窗口用于允许由激发气体形成的等离子体进入界定于处理每一基板所在的等离子体产生器2106的任一侧上的扩散等离子体区。石英管2104亦包括允许处理气体(例如,用于SiN沉积的SiH4、Si2H6及NH3)进入扩散等离子体区的多个孔。
图23为根据一个实施例的静态PECVD系统2300的示意系统布局。系统2300使用装载锁定室中的副框架装卸机机构及两组滚轮驱动器。处理腔室具有梭动机构以将基板移入处理位置。大气装卸机亦具有梭动机构。
系统2300包括两个装卸机托架2302A、2302B,两个装载锁定室2308A、2308B及双处理腔室2310。装卸机托架2302A、2302B可如由箭头“R”所示横向移动,以自装载锁定室2308A、2308B取得基板2306或将基板2306输送至装载锁定室2308A、2308B。尽管未图示,但预期单个装卸机托架2302A、2302B可用以如由箭头“R”所示横向移动,以自装载锁定室2308A、2308B取得基板2306或将基板2306输送至装载锁定室2308A、2308B。基板2306固持于支撑于驱动滚轮2304上的基板框架2330内。当每一基板2306自大气装卸机托架2302A、2302B行进穿过装载锁定室2308A、2308B且进入处理腔室2310时,每一基板2306将与基板框架2330保留在一起。基板框架2330由留在大气中的驱动滚轮2304移入及移出装载锁定室2308A、2308B。
装卸机托架2302A、2302B各自具有一组线性对准的内滚轮2304A及一组线性对准的外滚轮2304B。内滚轮2304A与外滚轮2304B将用于进入及退出系统2300的基板2306。例如,当经处理基板2306及框架2330自装载锁定室2308A、2308B进入装卸机托架2302A、2302B至内滚轮2304A上时,将自框架2330移除经处理基板2306。随后,将新基板2306置放于内滚轮2304A上的框架2330中,以随后进入装载锁定室2308A、2308B。随后,退出装载锁定室2308A、2308B的下一经处理基板2306及框架2330将进入外滚轮2304B上的装卸机托架2302A、2302B,其中将移除基板2306且将新基板置放于框架2330中。因此,基板2306在内滚轮2304A与外滚轮2304B之间交替。每一组滚轮2304A、2304B上的基板2306在位于装卸机托架2302A、2302B内时具有面向系统2300的中心线的「沉积」表面。
装载锁定室2308A、2308B可具有承载基板2306及基板框架2330的载体平板2312。类似于装卸机托架2302A、2302B,装载锁定室2308A、2308B各自具有一组线性对准的内滚轮2314A,载体平板2312将在该组线性对准的内滚轮2314A上移动;一组线性对准的内滚轮2316A,基板2306及框架2330将在该组线性对准的内滚轮2316A上自来源于装载锁定的大气移入且移出至该大气;一组线性对准的外滚轮2314B,载体平板2312将在该组线性对准的外滚轮2314B上移动;以及一组线性对准的外滚轮2316B,基板2306及框架2330将在该组线性对准的外滚轮2316B上、自来源于装载锁定的大气移入且移出至该大气。内滚轮2314A、2316A与外滚轮2314B、2316B皆将用于自大气穿过装载锁定室2308A、2308B的基板2306。一旦基板2306进入基板框架2330上的装载锁定室2308A、2308B,随后,基板2306自驱动滚轮组2316A、2316B转移至载体平板2312上。例如,当经处理基板2306、框架2330及载体2312自处理腔室2310进入装载锁定室2308A、2308B至内滚轮2314A上时,新基板2306、框架2330及载体2312随后将在外滚轮2314B上自装载锁定进入处理腔室2310。经处理基板2306、框架2330及载体2312沿相同的滚轮2314A、2314B自处理腔室2310进入装载锁定室2308A、2308B,在此之后该经处理基板2306、框架2330及载体2312进入处理腔室2310。因此,框架2330及载体2312将沿相同组的滚轮2314A、2314B一直行进。然而,(经处理与未经处理)的基板可沿滚轮2314A、2314B、2316A、2316B、2304A、2304B中的任一个行进。
每一组滚轮2304A、2304B、2316A、2316B使基板框架2330移动。每一组滚轮2314A、2314B、2320A、2320B使载体2312移动。另外,装载锁定室2308A、2308B可包括一或多个壁加热器2332。装载锁定室2308A、2308B由流量阀门2318与处理腔室2310环境性地隔离。处理腔室2310包括处理腔室托架2326A、2326B,该处理腔室托架2326A、2326B如由箭头“S”、“T”、“U”及“V”所示横向移动以使基板2306、框架2330及载体2312移入及移出处理位置。在处理腔室2310内,基板2306、基板框架2330及载体平板2312皆可安置于耦合至相应的滚轮驱动装置2324的一或多个滚轮2320A、2320B上。滚轮驱动装置2324由处理腔室托架2326A、2326B致动,以藉由沿箭头“S”及“T”的方向移动将基板2306移动至邻近于天线源2322的位置中。滚轮驱动装置2324由处理腔室托架2326A、2326B致动,以藉由沿箭头“U”及“V‘的方向移动将基板2306移出更远离天线源2322的位置。内滚轮2320A及外滚轮2320B自装载锁定室2308A、2308B接收载体2312。滚轮驱动装置2324将载体2312、基板2306及框架2330定位于与微波天线源2322相距相同的距离。因此,基于滚轮2320A、2320B、载体2312、基板2306及框架2330所设置的位置而控制滚轮驱动装置2324以移动滚轮2320A、2320B。亦可存在遮蔽框架2328以防止沉积于基板载体2312及基板2306的周边上。
使用系统2300,可如下进行CVD工艺。可将基板2306装载至装卸机托架2302A、2302B中的空基板框架2330中。用夹子(未图示)将基板2306夹至框架2330,以将基板2306固持于框架2330上的预定位置中。同时,刚才经处理的基板可自处理腔室2310接收于装载锁定室2308A、2308B中。随后,装载锁定室2308A、2308B可通气至大气。同时,刚才自装载锁定室2308A、2308B接收进入处理腔室2310的新基板可藉由沿由箭头“S“及“T”所示的方向移动滚轮2320A、2320B而被移入处理位置,以开始在处理腔室2310中经处理。在处理腔室2310内,基板2306可如由箭头“W”所示在天线源2322前方振动,以解决微波天线源2322之间距问题且藉此增强沉积均匀性。
当在处理腔室2310内处理基板2306时,装卸机托架2302A、2302B与装载锁定室2308A、2308B之间的门可开启,以便成品基板2306可自装载锁定室2308A、2308B卸载。随后,刚才经装载的基板2306可自装卸机托架2302A、2302B进入装载锁定室2308A、2308B。随后,装卸机托架2302A、2302B藉由沿由箭头“R”所示的方向横向移动来分度,以准备接收新基板。或者,将新基板2306置放于外滚轮2304B及内滚轮2304A上。例如,经处理基板2306及载体2312沿内滚轮2304A进入装卸机托架2302A、2302B。经处理基板2306将被卸载,且将新的未经处理基板2306置放于载体2312中以随后沿装载锁定室2308A、2308B的内滚轮2316A进入装载锁定室2308A、2308B。进入装卸机托架2302A、2302B的紧接着的下一经处理基板2306及载体2312将沿外滚轮2304B进入。装载锁定室2308A、2308B的门随后可闭合,且随后装载锁定室2308A、2308B可开始抽空至合适的真空度。
接着,当装载锁定室2308A、2308B完成抽空至合适的真空度时,可卸载目前含于装卸机托架2302A、2302B内的成品基板2306。同时,处理腔室2301内的基板2306可完成处理,且随后藉由沿由箭头“U”及“V”所示的方向致动滚轮驱动装置2324而移动返回至基板交换位置。
接着,流量阀门2318可在装载锁定室2308A、2308B与处理腔室2310之间开启。当自处理腔室2310取得成品基板2306进入装载锁定室2308A、2308B时,可将新基板2306装载至装卸机托架2302A、2302B中。随后,可将新基板2306自装载锁定室2308A、2308B置放于处理腔室2310中。流量阀2318可闭合且基板2306可如由箭头“S”及“T”所示移入处理位置。装载锁定室2308A、2308B可开始排气。随后,循环可连续地重复直至已处理所要数量的基板2306。
图24为根据另一实施例的静态PECVD系统2400的示意系统布局。该系统类似于系统2300,区别在于载体2402贯穿系统2400移动且遮蔽框架2404在装载锁定室2406A、2406B与处理腔室2408内移动,而非仅在处理腔室内简单地加以使用。如图27A至图27D所示及所述,遮蔽框架2404可啮合载体2402。使用系统2400所执行的处理步骤将与使用系统2300所执行的处理步骤大体上相同。
图25为根据另一实施例的动态PECVD系统2500的示意系统布局。系统2500包括基板装卸站2506A、2506B,在装卸站2506A、2506B中自系统2500装载基板2502及载体2504或将基板2502及载体2504卸载至系统2500。装卸站2506A、2506B各自具有内滚轮2508A及外滚轮2508B。在基板2502进入装载锁定室2512A、2512B之前将基板2502装载至载体2504中且置放于内滚轮2508A上。经处理基板2502在外滚轮2508B上自装载锁定室2512A、2512B进入装卸站2506A、2506B。流量阀2510环境性地隔离装卸站2506A、2506B与装载锁定室2512A、2512B。
基板2502及载体2504在存在于装载锁定室2512A、2512B中的内滚轮2516A上进入装载锁定室2512A、2512B。在装载锁定室2512A、2512B内,遮蔽框架2514安置于基板2502及载体2504上方以保护载体2504免受沉积,如将在下文关于图27A至图27D所论述。被通气至大气以允许基板2502及载体2504进入的装载锁定室2512A、2512B,现在可被抽空。贯穿剩余工艺期间,遮蔽框架2514将与基板2502及载体2504一同行进,直至基板2502及载体2504沿外滚轮2516B返回至装载锁定室2512A、2512B。装载锁定室2512A、2512B各自可具有可在处理之前预加热基板2502及载体2504的壁加热器2550。随后,基板2502、载体2504及遮蔽框架2514可穿过流量阀2520进入处理腔室2522以供处理。
处理腔室2522包括整备区域2524、处理区域2526及混合腔室区域2528。每一区域2524、2526、2528由内腔室阻障件2536、2538与邻近区域2524、2526、2528部分隔离。在图25所示的实施例中,腔室阻障件2536、2538为挡闸,但应理解,亦可使用诸如流量阀或气体幕的其他腔室阻障件。基板2502、载体2504及遮蔽框架2514沿内滚轮2530A进入整备区域2524。基板2502、载体2504及遮蔽框架2514经过内腔室阻障件2536且进入处理区域2526,将一或多个微波天线2540安置于处理区域2526中。基板2502、载体2504及遮蔽框架2514沿内滚轮2542A穿过处理区域2526。随后,基板2502、载体2504及遮蔽框架2514经过内腔室阻障件2538且进入混合腔室区域2528。基板2502、载体2504及遮蔽框架2514在停止于滚轮2546上之前沿内滚轮2544A进入混合腔室区域2528。一旦滚轮驱动装置2548位于滚轮2546上,则滚轮驱动装置2548致动以沿由箭头“X”所示的方向移动滚轮,以便滚轮1646并非与内滚轮2530A、2542A、2544A线性地对准而是与外滚轮2530B、2542B、2544B线性地对准。处理腔室2522可经由安置于壁2534与壁2535之间且亦可安置于混合腔室2528中的泵送口来抽空。可将气体引入微波天线2540之间及/或微波天线2540与基板2502之间的区域中的处理腔室2522。在沉积工艺期间,基板2502以大体上恒定的速度移动经过微波天线2540。更特定而言,基板2502以大体上恒定的速度移动经过处理区域2526。应理解,尽管已描述混合腔室区域2528,但亦可预期如上所论述的回转腔室。
现在,基板2502、载体2504及遮蔽框架2514将开始沿外滚轮2530B、2542B、2544B行进返回至装卸站2506A、2506B。基板2502、载体2504及遮蔽框架2514藉由在混合腔室区域2528内的外滚轮2544B上滚动,随后在由壁2534与处理区域2526分离的外滚轮2542B上滚动,且最后在亦由壁2534与载体进入区域分离的整备区域2524的外滚轮2530B上滚动,从而开始该基板2502、载体2504及遮蔽框架2514的移动。外滚轮2530B、2542B、2544B皆安置于壁2534之后,壁2534分离外滚轮2530B、2542B、2544B与内滚轮2530A、2542A、2544A且隔离基板2502、载体2504及遮蔽框架2514与微波天线2540以防止沉积于基板2502、载体2504及遮蔽框架2514上。随后,流量阀2520在整备区域2524与装载锁定室2512A、2512B之间开启,且基板2502、载体2504及遮蔽框架2514在装载锁定室2512A、2512B的外滚轮2516B上滚动。在装载锁定室2512A、2512B内,遮蔽框架2514将脱离基板2502及载体2504。装载锁定室2512A、2512B将排气且流量阀门2510将开启以允许基板2502及载体2504沿外滚轮2508B进入装卸站2506A、2506B。随后,可自系统移除基板2502。
当在系统2500中运行处理时,可将基板2502自基板盒装载至载体2504且置放于装卸站2506A、2506B中。用夹子(未图示)将基板2502夹固至载体2504,以将基板2502固持于载体2504上的预定位置中。同时,在装载锁定室2512A、2512B内,载体2504正好完成真空交换以自处理腔室2522接收处理基板2502。当遮蔽框架2514移动至邻近于内滚轮2516A的装载锁定室2512A、2512B的相对侧时,装载锁定室2512A、2512B开始通气至大气。同时,基板2502、载体2504及遮蔽框架2514穿过处理区域2526内的等离子体。当基板2502、载体2504及遮蔽框架2514退出等离子体时,基板2502、载体2504及遮蔽框架2514加速进入混合腔室区域2528至滚轮2546上。随后,滚轮驱动装置2548致动以将基板2502、载体2504及遮蔽框架2514移动至与外滚轮2530B、2542B、2544B对准。随后,基板2502、载体2504及遮蔽框架2514将移动至整备区域2524,以等待与装载锁定室2512A、2512B的下一次真空交换。同时,将以缓慢且稳定的持续时间持续对其他基板2502进行处理,且滚轮驱动装置2548将致动返回至与内滚轮2530A、2542A、2544A对准。
接着,刚才装载至装卸站2506A、2506B中的基板2502及载体2504沿内滚轮2516A自内滚轮2508A进入相应的装载锁定室2512A、2512B,同时处理基板2502及载体2504沿外滚轮2516B退出装载锁定室2512A、2512B至外滚轮2508B上。随后,可将经处理基板自载体2504卸载且返回至储存盒中。在基板2502及载体2504已进入装载锁定室2512A、2512B之后,可将装载锁定室2512A、2512B抽空且可将遮蔽框架2514移入将在下文关于图27A至图27D所论述的位置。在处理腔室内,如上所述持续处理。
接着,将新基板2502及载体2504再装载至装卸站2506A、2506B中。在装载锁定室2512A、2512B内,基板2502、载体2504及遮蔽框架2514自外滚轮2530B至外滚轮2516B上自处理腔室进入装载锁定室2512A、2512B。同时,基板2502、载体2504及遮蔽框架2514沿内滚轮2530A自内滚轮2516A进入处理腔室2522。随后,流量阀2520可闭合以允许装载锁定室2512A、2512B通气至大气。在处理腔室2522内,基板2502、载体2504及遮蔽框架2514加速至赶上正在处理区域2526中处理的基板2502、载体2504及遮蔽框架2514。
就处理腔室2522而言应注意的一个要素为,基板2502、载体2504及遮蔽框架2514在不同区域2524、2526、2528内移动的不同速度。基板2502、载体2504及遮蔽框架2514在整备区域2524及混合腔室区域2528内以大于穿过处理区域2526的速度的速度移动。即使基板2502、载体2504及遮蔽框架2514将十分缓慢地移动穿过处理区域2526,但整备区域2524及混合腔室区域2528允许基板产量较高。通常,基板2502位于装载锁定室2512A、2512B内的时间段应大约等于基板2502总共穿过处理区域2526及整备区域2524的时间段,且亦等于基板2502穿入且穿过混合腔室区域且沿外滚轮2544B、2542B、2530B的时间段。基板2502以大体上恒定的速度穿过处理区域2526以增强沉积均匀性。
动态系统2500的一个益处在于,出来且与每一基板热循环的载体免受无关沉积,而收集这些沉积的遮蔽框架2514一直保持为热的且位于系统2500内。系统2500的产量可为多达每小时130个基板。不同于静态系统,各个微波线源的数量且因而总等离子体功率并不取决于达成所要均匀性所需的源到源间隔。系统2500使用装载锁定室内的遮蔽框架装卸机机构。系统亦使用大气中的机构,该机构在自载体卸载经处理基板且将未经处理基板装载至载体上之后自外轨道移除基板载体且将该基板载体替换至内轨道上。
图26A至图26G为可用于系统2500中的大气装卸站2600的示意图。大气装卸站2600包括可如由箭头“Y”所示垂直移动的滚轮驱动装置托架2602以移动内滚轮2508A及外滚轮2508B,以便可移除基板2502及载体2504。一旦经处理基板2502及载体2504在外滚轮2508B上进入大气装卸站2600,则可移除基板2502及载体2504。基板载体2504可以在系统2500内输送期间基板载体2504实际上并不接触顶部轴承2604的任何部分的方式受磁化,且由磁性斥力固持于顶部轴承2604的磁轭内。在所示实施例中,顶部轴承2604包含永久磁铁,然而,预期亦可使用电磁铁。
基板载体2504具有多个基板载体抓取销2608。抓取销2608用于将载体2504置放至装卸站2600上及自装卸站2600移除载体2504。当基板2502及载体2504随滚轮驱动装置托架2602下降而自顶部轴承2604下降时,载体2504由具有多个钩元件2612的基板载体托架2610啮合,钩元件2612自底部啮合抓取销2608。随后,载体2504及基板2502将倚靠在钩元件2612上。随后,基板载体托架2610可如由箭头“Z”所示在滚轮2614上垂直移动,以使载体2504下降远离且脱离顶部轴承2604。基板载体托架2610耦合至载体固持器/旋转组件2616,随后载体固持器/旋转组件2616可环绕轴2618自载体2504为大体上垂直的位置枢转至载体2504为大体上水平的位置。当载体固持器/旋转组件2616枢转时,基板2502遇到举升销2624,举升销2624自举升销平板2622延伸以自载体2504移除基板2502。或者,一旦载体固持器/旋转组件2616旋转至大体上水平的位置,则举升销平板2622可在滚轮2626上垂直移动以升起举升销2624及自载体2504移除基板2502。用夹子(未图示)将基板2502夹至载体2504,以将基板2502固持于载体2504上的预定位置中。预期举升销平板2622可耦合至基板载体托架2610,而非如图所示与基板载体托架2610隔开。随后,可将经处理基板2502移除至盒,且可将新的未经处理基板2502置放于举升销2624上。随后,载体固持器/旋转组件2616可枢转回至垂直位置。随后,旋转组件托架2620可如由箭头“AA”所示横向移动,以将载体2504移动至内滚轮2508A。随后,基板载体托架2610可如由箭头“Z”所示垂直移动,以至少部分地啮合顶部轴承2604。随后,滚轮驱动装置托架2602可如由箭头“Y”所示垂直移动,以使载体2504脱离钩元件2612。随后,旋转组件托架2620可如由箭头“AA”所示横向移动且工艺可再次开始。
可如下处理基板。基板2502及载体2504正好在外滚轮2508B上进入站2600。如图26A所示,载体固持器/旋转组件2616移入抓取载体2504的位置。滚轮驱动装置托架2602使载体2504下降至钩元件2612上。如图26B所示,基板载体托架2610稳固地夹紧载体2504且自顶部轴承2604抽出载体2504。随后,载体固持器/旋转组件2616向下旋转至交换基板位置,如图26C所示。随后,以未经处理基板交换经处理基板2502,如图26D所示。随后,载体固持器/旋转组件2616旋转返回至垂直状,如图26E所示。如图26F所示,载体固持器/旋转组件2616将载体2504移动至内滚轮2508A上方的位置中。当滚轮驱动装置托架升起以将载体2504固持于适当的位置时,载体托架2610举升且再啮合顶部轴承2604。如图26G所示,基板载体托架2610松开且下降,以释放载体2504。随后,载体2504及基板2502准备好进入装载锁定室以为处理作准备。
图27A至图27D为装载锁定移位机构2700的图解说明。装载锁定移位机构2700包括可处于大气压力的框架组件2704。在框架组件2704内存在垂直延伸支撑梁2716、自支撑梁2716延伸的多个水平延伸支撑梁2706以及遮蔽框架啮合梁2712。梁2716、2706、2712皆在真空之下,因此波纹管2708、2710可用以将梁2716、2706、2712密封于真空环境内同时允许梁2716、2706、2712移动。梁2716、2706、2712可沿滚轮2702沿如由箭头“BB”所示的垂直方向移动,滚轮2702沿框架组件2704内部移动。整个装载锁定移位机构2700可如由箭头“CC”所示横向移动。
装载锁定移位机构2700操作如下。如图27A所示,经处理基板2502、载体2504及遮蔽框架2514自处理腔室进入装载锁定室。随后,装载锁定移位机构2700横向移动,以使得自梁2712延伸的销2714啮合遮蔽框架2514中的槽2730,如图27B所示。尽管未图示,但载体2504亦可具有多个销(未图示),这些销各自啮合遮蔽框架2514中的相应槽(未图示)以将遮蔽框架固持于与载体2504耦合的位置中。随后,梁2716、2706、2712如由箭头“BB”所示地垂直移动,以自载体2504中的多个销(未图示)举升遮蔽框架2514,这些销将遮蔽框架2514耦合至载体2504。随后,移位机构2700如由箭头“CC”所示横向移动,以使遮蔽框架2514脱离载体2504。随后,将遮蔽框架2514移动至装载锁定室的相对侧。随后,装载锁定室可通气至大气。随后,基板2502及载体2504可退出装载锁定室。随后,新的未经处理基板2502及载体2504可进入装载锁定室。当将装载锁定室抽空时,移位机构2700横向移动以将遮蔽框架2514置放于使遮蔽框架2514下降至刚才进入装载锁定室的载体2504上的位置中,如图27C所示。随后,移位机构2700可使遮蔽框架2514下降至载体2504上且缩回至等待位置,如图27D所示。随后,流量阀可开启以允许经处理基板进入装载锁定室,而未经处理基板进入处理腔室。
图28为根据另一实施例的垂直CVD系统的示意横断面图。系统2800包括基板装卸站2802及基板转移梭动机构2804。
图29为根据另一实施例的垂直CVD系统2900的俯视图解说明。系统2900包括由门2904与装载锁定室2906相分离的装卸机器人2902。装载锁定室2906包括诸如红外线加热器的加热器转移元件2908,以在穿过流量阀开口2914进入处理腔室2910之前加热基板。在处理腔室2910内存在一或多个等离子体产生器2912。
图30为处理腔室3000的示意横截面图,处理腔室3000具有如由箭头“DD”所示在处理腔室3000前方经过的基板载体3002。
图31为根据另一实施例的处理腔室3100的图解说明。处理腔室包括基板温度稳定器3102(亦即,加热器或冷却器平板)、一或多个等离子体产生器3104、可包含陶瓷材料的处理气体管3106、泵送口3108及泵送通道3110。在可由介电管或陶瓷管或石英环绕的等离子体产生器3104附近输送冷却气体。图32为处理腔室3100的图解说明,该图解说明图示经由安置于等离子体产生器3104之间的气体引入元件3202的端3204输送之前驱物气体及经由气体引入元件的中心3206输送的其他前驱物气体。
图33为根据一个实施例的处理腔室3300的底部的图解说明。腔室3300包括非接触磁性支撑件,用于在处理期间将基板固持于适当位置;处理气体密封件3304,用于防止将处理气体引入腔室的不当的区域中;线性致动器步进驱动机构3306;净化气体线3308,用于引入净化气体;以及泵送通道3310,用于抽空处理腔室3300。
藉由使用垂直CVD系统,可同时处理多个基板。同时处理多个基板降低了制造成本,从而可增加制造商的利润。
尽管上述内容针对本发明的实施例,但在不脱离本发明的基本范畴的情况下,可设计本发明的其他及另外实施例,且本发明的范畴由以下申请专利范围来决定。

Claims (15)

1.一种设备,所述设备包含:
基板装载站,所述基板装载站具有安置于中心壁的相对侧上的两个基板装载位置;
机器人,所述机器人可操作以自基板堆迭模块取得基板及将所述基板置放于所述基板装载站中;
装载锁定室,所述装载锁定室耦合至所述基板装载站,所述装载锁定室具有安置于中心壁的相对侧上的两个基板位置;以及
处理腔室,所述处理腔室耦合至所述装载锁定室,所述处理腔室具有安置于一或多个处理源的相对侧上的两个基板装载位置。
2.一种设备,所述设备包含:
两个基板装载站,每一基板装载站具有安置于中心壁的相对侧的两个基板装载位置;
两个机器人,所述两个机器人可操作以自基板堆迭模块取得基板,每一机器人可操作以将基板置放于每一基板装载站中;
两个装载锁定室,每一装载锁定室耦合至相应的基板装载站,每一装载锁定室具有安置于中心壁的相对侧上的两个基板位置;以及
两个处理腔室,每一处理腔室耦合至相应的装载锁定室,每一处理腔室具有安置于一或多个处理源的相对侧上的两个基板装载位置。
3.如权利要求1所述的设备,其中所述处理腔室具有两个盖,一个盖对应于所述中心壁的每一相对侧,且每一盖耦合至处于四个分离位置的真空源。
4.如权利要求1所述的设备,其中所述处理腔室可相对于所述装载锁定室移动,以允许所述处理腔室因热膨胀而移动。
5.如权利要求1所述的设备,其进一步包含基板载体维护站,所述基板载体维护站耦合至所述处理腔室。
6.如权利要求1所述的设备,其中所述基板装载站为大气站,且其中所述装载锁定室及所述处理腔室为真空腔室。
7.如权利要求1或2所述的设备,其中所述一或多个处理源包含多个微波源。
8.如权利要求2所述的设备,其中每一处理腔室具有两个盖,一个盖对应于所述中心壁的每一相对侧,且每一盖耦合至处于四个分离位置的一真空源。
9.如权利要求3或8所述的设备,其中所述两个盖各自可移动远离所述中心壁以暴露所述处理腔室的内侧,且其中将所述处理腔室耦合至所述真空源的管可随所述盖移动。
10.如权利要求2所述的设备,其中每一处理腔室可相对于所述相应的装载锁定室移动,以允许所述处理腔室因热膨胀而移动。
11.如权利要求2所述的设备,其进一步包含两个基板载体维护站,每一基板载体维护站耦合至相应处理腔室。
12.如权利要求2所述的设备,其中每一基板装载站为大气站,且其中每一装载锁定室及每一处理腔室为真空腔室。
13.一种方法,所述方法包含以下步骤:
自第一基板堆迭模块取得第一基板至第一机器人上;
将所述第一基板置放于第一位置中的第一基板装载站中;
自所述第一基板堆迭模块取得第二基板至所述第一机器人上;将所述第二基板置放于与所述第一基板装载站分离的第二位置中的第二基板装载站中;自第二基板堆迭模块取得第三基板至第二机器人上;
将所述第三基板置放于与所述第一位置分离的第三位置中的所述第一基板装载站中;
自所述第二基板堆迭模块取得第四基板至所述第二机器人上;以及
将所述第四基板置放于与所述第二位置分离的第四位置中的所述第二基板装载站中。
14.如权利要求13所述的方法,其中将所述第一基板置放于所述第一基板装载站中的步骤包含以下步骤:将所述第一基板置放于第一基板载体上,且其中将所述第二基板置放于所述第二基板装载站中的步骤包含以下步骤:将所述第二基板置放于第二基板载体上,其中将所述第三基板置放于所述第一基板装载站中的步骤包含以下步骤:将所述第三基板置放于第三基板载体上,以使得所述第一基板及所述第三基板由所述第一基板载体及所述第三基板载体间隔开,其中将所述第四基板置放于所述第二基板装载站中的步骤包含以下步骤:将所述第四基板置放于第四基板载体上,以使得所述第二基板及所述第四基板由所述第二基板载体及所述第四基板载体间隔开。
15.如权利要求13所述的方法,其中所述第一机器人及所述第二机器人沿公用轨道移动,其中取得所述第一基板的步骤包含以下步骤:自第一位置取得所述第一基板,所述第一位置具有第一定向;且其中将所述第一基板置放于所述第一基板装载站中的步骤包含以下步骤:将所述基板置放于第二位置中的所述第一基板装载站中,所述第二位置具有实质上垂直于所述第一位置的第二定向。
CN2011800216142A 2010-04-30 2011-04-29 垂直直列cvd系统 Pending CN102859655A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US33029610P 2010-04-30 2010-04-30
US61/330,296 2010-04-30
US35423010P 2010-06-13 2010-06-13
US61/354,230 2010-06-13
US41653210P 2010-11-23 2010-11-23
US61/416,532 2010-11-23
PCT/US2011/034619 WO2011137371A2 (en) 2010-04-30 2011-04-29 Vertical inline cvd system

Publications (1)

Publication Number Publication Date
CN102859655A true CN102859655A (zh) 2013-01-02

Family

ID=44862144

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180020889.4A Expired - Fee Related CN102859034B (zh) 2010-04-30 2011-04-29 垂直直列cvd系统
CN2011800216142A Pending CN102859655A (zh) 2010-04-30 2011-04-29 垂直直列cvd系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180020889.4A Expired - Fee Related CN102859034B (zh) 2010-04-30 2011-04-29 垂直直列cvd系统

Country Status (6)

Country Link
US (2) US9324597B2 (zh)
JP (2) JP2013527609A (zh)
KR (2) KR101932578B1 (zh)
CN (2) CN102859034B (zh)
TW (2) TWI551718B (zh)
WO (2) WO2011137371A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109563609A (zh) * 2017-07-24 2019-04-02 应用材料公司 用于在真空腔室中处理基板的设备与系统和在真空腔室中运输载体的方法
CN111105975A (zh) * 2018-10-29 2020-05-05 台湾积体电路制造股份有限公司 半导体元件制造系统及操作半导体元件制造系统的方法

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101932578B1 (ko) * 2010-04-30 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644268B2 (en) * 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104080947B (zh) * 2012-01-27 2016-08-24 应用材料公司 分段式天线组件
WO2013122954A1 (en) * 2012-02-13 2013-08-22 Applied Materials, Inc. Linear pecvd apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI582028B (zh) * 2014-01-03 2017-05-11 緯創資通股份有限公司 導引組裝料件系統及其導引料件台
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015171207A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same
US10096509B2 (en) * 2014-05-09 2018-10-09 Applied Materials, Inc. Substrate carrier system with protective covering
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN104195629A (zh) * 2014-08-20 2014-12-10 中国科学院半导体研究所 塔式多片外延生长装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US10039219B1 (en) 2015-09-28 2018-07-31 Western Digital Technologies, Inc. Method and devices for picking and placing workpieces into devices under manufacture using dual robots
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI588929B (zh) * 2016-08-01 2017-06-21 矽品精密工業股份有限公司 承載設備及其操作方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10861692B2 (en) * 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048818A (zh) * 2017-12-18 2018-05-18 德淮半导体有限公司 化学气相沉积装置及其使用方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11694913B2 (en) * 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US20210130952A1 (en) * 2019-02-28 2021-05-06 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming apparatus
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11189516B2 (en) 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
US11538706B2 (en) 2019-05-24 2022-12-27 Applied Materials, Inc. System and method for aligning a mask with a substrate
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN110331378B (zh) * 2019-07-18 2024-01-19 中国科学院金属研究所 金刚石薄膜连续制备使用的hfcvd设备及其镀膜方法
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN115088092A (zh) 2020-01-22 2022-09-20 应用材料公司 Oled层厚度和掺杂剂浓度的产线内监测
JP7433449B2 (ja) * 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020084033A1 (en) * 2001-01-04 2002-07-04 Schieve Eric W. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
JP2005206852A (ja) * 2004-01-20 2005-08-04 Ulvac Japan Ltd インライン式真空処理装置
CN1674220A (zh) * 2004-03-24 2005-09-28 周星工程股份有限公司 基板制造装置
CN1706024A (zh) * 2002-10-16 2005-12-07 Sez股份公司 用于输送晶圆状物件的装置及方法
US20090004874A1 (en) * 2007-06-29 2009-01-01 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090014127A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
WO2009044526A1 (ja) * 2007-10-05 2009-04-09 Evatech Co., Ltd. 基板保持機構、基板受渡機構、及び基板処理装置

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4274936A (en) * 1979-04-30 1981-06-23 Advanced Coating Technology, Inc. Vacuum deposition system and method
US4410558A (en) * 1980-05-19 1983-10-18 Energy Conversion Devices, Inc. Continuous amorphous solar cell production system
US4423701A (en) * 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US4474659A (en) * 1982-05-28 1984-10-02 Fazal Fazlin Plated-through-hole method
US4576830A (en) * 1984-11-05 1986-03-18 Chronar Corp. Deposition of materials
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
EP0264913B1 (en) 1986-10-20 1994-06-22 Hitachi, Ltd. Plasma processing apparatus
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
DE4029905C2 (de) * 1990-09-21 1993-10-28 Leybold Ag Vorrichtung für den Transport von Substraten
JP3416910B2 (ja) * 1991-04-04 2003-06-16 シーゲイト テクノロジィ リミテッド ライアビリティ カンパニー スループットの高いスパッタリング装置及び方法
US5776819A (en) * 1992-05-05 1998-07-07 Midwest Research Institute Deposition of device quality, low hydrogen content, amorphous silicon films by hot filament technique using "safe" silicon source gas
US5397737A (en) * 1992-05-05 1995-03-14 The United States Of America As Represented By The United States Department Of Energy Deposition of device quality low H content, amorphous silicon films
US6124186A (en) * 1992-05-05 2000-09-26 Midwest Research Institute Deposition of device quality, low hydrogen content, hydrogenated amorphous silicon at high deposition rates with increased stability using the hot wire filament technique
JP3175333B2 (ja) * 1992-06-15 2001-06-11 日新電機株式会社 基板処理装置
EP0578047B1 (en) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07106094A (ja) * 1993-10-04 1995-04-21 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
JPH07161492A (ja) * 1993-12-06 1995-06-23 Daido Steel Co Ltd マイクロ波プラズマ処理装置
DE4428136A1 (de) * 1994-08-09 1996-02-15 Leybold Ag Vakuum-Beschichtungsanlage
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
JPH08138889A (ja) * 1994-09-16 1996-05-31 Daihen Corp プラズマ処理装置
DE19503205C1 (de) 1995-02-02 1996-07-11 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3754742B2 (ja) * 1996-02-16 2006-03-15 キヤノン株式会社 光起電力素子の作製装置
DE19631407B4 (de) * 1996-08-05 2006-05-04 Unaxis Deutschland Holding Gmbh Vorrichtung zur plasmachemischen Abscheidung von polykristallinem Diamant
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
DE19739894C2 (de) 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6251183B1 (en) * 1998-05-21 2001-06-26 Midwest Research Institute Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process
DE19824077A1 (de) * 1998-05-29 1999-12-02 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
DE19841777C1 (de) * 1998-09-12 2000-01-05 Fraunhofer Ges Forschung Vorrichtung zur plasmatechnischen Abscheidung von polykristallinem Diamant
EP0995812A1 (en) * 1998-10-13 2000-04-26 Vacumetal B.V. Apparatus for flow-line treatment of articles in an artificial medium
WO2000043568A1 (fr) * 1999-01-22 2000-07-27 Toyo Kohan Co., Ltd. Appareil de depot chimique en phase vapeur a plasma par micro-ondes
US6186090B1 (en) * 1999-03-04 2001-02-13 Energy Conversion Devices, Inc. Apparatus for the simultaneous deposition by physical vapor deposition and chemical vapor deposition and method therefor
JP4017796B2 (ja) * 1999-10-26 2007-12-05 株式会社アルバック プラズマ処理装置
JP4448586B2 (ja) * 2000-01-05 2010-04-14 株式会社アルバック 大口径カーボンナノチューブ薄膜形成プラズマcvd装置及び該薄膜の形成方法
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100797423B1 (ko) * 2000-05-17 2008-01-23 가부시키가이샤 아이에이치아이 플라즈마 cvd 장치 및 방법
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
DE10114115A1 (de) 2001-03-22 2002-10-02 Muegge Electronic Gmbh Anordnung zur Erzeugung von Plasma in einem Behandlungsraum
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
JP4564213B2 (ja) 2001-09-14 2010-10-20 三井造船株式会社 プラズマ生成用アンテナ及びcvd装置
JP4020679B2 (ja) * 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
JP2004055614A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP4120546B2 (ja) * 2002-10-04 2008-07-16 株式会社Ihi 薄膜形成方法及び装置並びに太陽電池の製造方法及び装置並びに太陽電池
JP4087233B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
DE102004008598B4 (de) * 2004-02-21 2006-12-28 Applied Films Gmbh & Co. Kg Verfahren für den Betrieb einer Inline-Beschichtungsanlage
US20050183665A1 (en) * 2004-02-24 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
JP4471708B2 (ja) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 基板搬送装置
JP2005340425A (ja) * 2004-05-26 2005-12-08 Ulvac Japan Ltd 真空処理装置
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7267319B2 (en) * 2004-11-09 2007-09-11 General Electric Company Low-friction slide-plates for rotary machines
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
KR100667866B1 (ko) * 2004-12-22 2007-01-12 한국기계연구원 리튬이차전지 음극재료용 복합분말 및 그 제조방법과 이를이용한 음극재료
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP2006278643A (ja) * 2005-03-29 2006-10-12 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置及びプラズマ処理方法
KR100667886B1 (ko) * 2005-07-01 2007-01-11 주식회사 에스에프에이 인라인 스퍼터링 시스템
US20070095281A1 (en) * 2005-11-01 2007-05-03 Stowell Michael W System and method for power function ramping of microwave liner discharge sources
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
KR101225312B1 (ko) * 2005-12-16 2013-01-22 엘지디스플레이 주식회사 프로세스 장치
DE102006048815B4 (de) * 2006-10-16 2016-03-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Leistung
DE102006048814B4 (de) * 2006-10-16 2014-01-16 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Plasmadichte
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080127887A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Vertically mounted rotary cathodes in sputtering system on elevated rails
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
WO2008094845A2 (en) * 2007-02-01 2008-08-07 Willard & Kelsey Solar Group, Llc System and method for glass sheet semiconductor coating
US20100144122A1 (en) * 2007-07-07 2010-06-10 Xinmin Cao Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
CN101689492B (zh) 2007-07-12 2012-04-04 应用材料公司 处理基板边缘区域的装置与方法
JP5058084B2 (ja) * 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP2009105081A (ja) * 2007-10-19 2009-05-14 Ebatekku:Kk 基板処理装置
JP5307383B2 (ja) 2007-11-26 2013-10-02 株式会社アルバック 真空処理装置
CN102751220A (zh) * 2007-12-14 2012-10-24 株式会社爱发科 真空腔以及成膜装置
US20110076420A1 (en) * 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
WO2009148077A1 (ja) * 2008-06-06 2009-12-10 株式会社アルバック 薄膜太陽電池製造装置
WO2009148081A1 (ja) * 2008-06-06 2009-12-10 株式会社アルバック 薄膜太陽電池製造装置
KR20110018425A (ko) * 2008-06-09 2011-02-23 어플라이드 머티어리얼스, 인코포레이티드 기판을 코팅하는 코팅 시스템 및 방법
US20090324368A1 (en) * 2008-06-27 2009-12-31 Applied Materials, Inc. Processing system and method of operating a processing system
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
TW201129713A (en) * 2009-07-09 2011-09-01 Applied Materials Inc Curved microwave plasma line source for coating of three-dimensional substrates
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
JP2011119396A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 薄膜太陽電池製造装置
KR101932578B1 (ko) * 2010-04-30 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020084033A1 (en) * 2001-01-04 2002-07-04 Schieve Eric W. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
CN1706024A (zh) * 2002-10-16 2005-12-07 Sez股份公司 用于输送晶圆状物件的装置及方法
JP2005206852A (ja) * 2004-01-20 2005-08-04 Ulvac Japan Ltd インライン式真空処理装置
CN1674220A (zh) * 2004-03-24 2005-09-28 周星工程股份有限公司 基板制造装置
US20090004874A1 (en) * 2007-06-29 2009-01-01 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090014127A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
WO2009044526A1 (ja) * 2007-10-05 2009-04-09 Evatech Co., Ltd. 基板保持機構、基板受渡機構、及び基板処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109563609A (zh) * 2017-07-24 2019-04-02 应用材料公司 用于在真空腔室中处理基板的设备与系统和在真空腔室中运输载体的方法
CN111105975A (zh) * 2018-10-29 2020-05-05 台湾积体电路制造股份有限公司 半导体元件制造系统及操作半导体元件制造系统的方法
CN111105975B (zh) * 2018-10-29 2022-09-06 台湾积体电路制造股份有限公司 半导体元件制造系统及操作半导体元件制造系统的方法

Also Published As

Publication number Publication date
KR20130057441A (ko) 2013-05-31
TW201204865A (en) 2012-02-01
WO2011137373A2 (en) 2011-11-03
US20120031335A1 (en) 2012-02-09
CN102859034A (zh) 2013-01-02
US9922854B2 (en) 2018-03-20
WO2011137371A3 (en) 2012-03-01
WO2011137373A3 (en) 2012-02-09
KR101932578B1 (ko) 2018-12-28
TW201202472A (en) 2012-01-16
TWI551718B (zh) 2016-10-01
WO2011137371A2 (en) 2011-11-03
WO2011137373A4 (en) 2012-03-15
JP5903429B2 (ja) 2016-04-13
JP2013526067A (ja) 2013-06-20
US9324597B2 (en) 2016-04-26
JP2013527609A (ja) 2013-06-27
US20120031333A1 (en) 2012-02-09
CN102859034B (zh) 2015-04-29
KR101796656B1 (ko) 2017-11-13
TWI544107B (zh) 2016-08-01
KR20130062942A (ko) 2013-06-13

Similar Documents

Publication Publication Date Title
CN102859655A (zh) 垂直直列cvd系统
CN106164331B (zh) 具有一体式静电夹盘的基板载体
CN108475654B (zh) 用于在真空腔室中传送基板载体的设备、用于真空处理基板的系统、及用于在真空腔室中传送基板载体的方法
US6846149B2 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US20090133628A1 (en) Vacuum device for continuous processing of substrates
KR101120497B1 (ko) 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
CN101150051A (zh) 传输和加工衬底的装置及方法
WO2017071831A1 (en) Apparatus for treatment of a substrate for a vacuum deposition process in a vacuum processing module, system for treatment and handling of a substrate, method for treatment of a substrate for a vacuum deposition process in a vacuum processing module, and apparatus for loading a substrate carrier into a vacuum processing system
KR102035833B1 (ko) 처리 모듈
EP4107026A1 (en) Substrate processing apparatus
US10483141B2 (en) Semiconductor process equipment
CN212770954U (zh) 一种预热型管式pecvd设备
US20160281236A1 (en) Substrate processing using interleaved load lock transfers
JP2014515875A (ja) マルチチャンバー太陽電池モジュール処理システム及び方法
TW201335973A (zh) 真空沉積系統
US6241823B1 (en) Array and method for coating of objects
CN105420682B (zh) 一种高吞吐量沉积装置
CN117987812A (zh) 用于衬底处理设备的室衬里
WO2018171908A1 (en) Apparatus for loading a substrate in a vacuum processing system, system for processing a substrate, and method for loading a substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20130102