TWI551718B - 豎立直線cvd系統 - Google Patents

豎立直線cvd系統 Download PDF

Info

Publication number
TWI551718B
TWI551718B TW100115152A TW100115152A TWI551718B TW I551718 B TWI551718 B TW I551718B TW 100115152 A TW100115152 A TW 100115152A TW 100115152 A TW100115152 A TW 100115152A TW I551718 B TWI551718 B TW I551718B
Authority
TW
Taiwan
Prior art keywords
chamber body
chamber
coupled
processing
gas introduction
Prior art date
Application number
TW100115152A
Other languages
English (en)
Other versions
TW201204865A (en
Inventor
栗田真一
庫德拉裘茲福
安瓦蘇華
懷特約翰M
任東吉
沃夫漢斯
瓦洛丹尼斯
稻川真
森育雄
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201204865A publication Critical patent/TW201204865A/zh
Application granted granted Critical
Publication of TWI551718B publication Critical patent/TWI551718B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

豎立直線CVD系統
本發明之實施例大體而言係關於一種豎立化學氣相沈積(chemical vapor deposition;CVD)系統。
CVD為一種將化學前驅物引入處理腔室中、發生化學反應以形成預定化合物或材料,及將其沈積於處理腔室內之基板上的製程。存在若干個CVD製程。一個CVD製程為在腔室中點燃電漿以增強前驅物之間的反應的電漿增強化學氣相沈積(plasma enhanced chemical vapor deposition;PECVD)。可藉由使用感應耦合電漿源或電容耦合電漿源而完成PECVD。
CVD製程可用以處理大面積基板,諸如平板顯示器或太陽電池板。CVD可用以沈積多層,諸如用於電晶體之矽基薄膜。在此項技術中需要一種降低平板顯示器裝置之製造成本的方法及設備。
本發明大體而言係關於一種豎立CVD系統,其具有能夠處理多個基板之一處理腔室。儘管將該多個基板安置於該處理腔室內之處理源之相對側上,但未使處理環境彼此隔離。該處理源為一水平居中的豎立電漿產生器,其允許在該電漿產生器之任一側上同時但以彼此獨立之方式處理多個基板。將該系統配置為一雙系統,藉此將各自具有其自己的處理腔室之兩個相同處理線配置為彼此鄰近。多個機器人用以自處理系統裝載且卸載基板。每一機器人可使用該系統內之兩個處理線。
在一個實施例中,一種設備包括:一腔室主體;複數個電漿產生器;一第一波導,其耦合至每一電漿產生器之第一端;一第二波導,其耦合至每一電漿產生器之第二端;一第一功率源,其耦合至該第一波導,該第一功率源安置於該腔室主體外;以及一第二功率源,其耦合至該第二波導。該複數個電漿產生器水平居中於該腔室主體內且在該腔室主體內豎立延伸,以使得在該腔室主體內仍有足夠的間隔用於在該複數個電漿產生器之相對側上處理之一或多個基板。每一電漿產生器具有一第一端,其鄰近於該腔室主體之一底部;以及一第二端,其鄰近於該腔室主體之一頂部。第二功率源安置於該腔室主體外。第二功率源經共同配置為一交錯圖案,以使得鄰近第二波導沿相反方向自電漿產生器延伸至相應的第二功率源。
在另一實施例中,一種設備包括:一腔室主體;複數個電漿產生器;一第一波導,其耦合至每一電漿產生器之第一端;一第二波導,其耦合至每一電漿產生器之第二端;一第一功率源,其耦合至該第一波導,該第一功率源安置於該腔室主體外;以及一第二功率源,其耦合至該第二波導。該複數個電漿產生器水平居中於該腔室主體內且在該腔室主體內豎立延伸,以使得在該腔室主體內仍有足夠的間隔用於在該複數個電漿產生器之相對側上處理之一或多個基板。每一電漿產生器具有一第一端,其鄰近於該腔室主體之一底部;以及一第二端,其鄰近於該腔室主體之一頂部。第二功率源安置於該腔室主體外。第二功率源經共同配置為一圖案,以使得鄰近第二波導沿相同方向自電漿產生器延伸至相應的第二功率源。
在另一實施例中,一種設備包括:一腔室主體;複數個電漿產生器;一第一傾斜波導,其耦合至每一電漿產生器之第一端;一第二傾斜波導,其耦合至每一電漿產生器之第二端;一第一功率源,其耦合至該第一波導,該第一功率源安置於該腔室主體外;以及一第二功率源,其耦合至該第二波導。該複數個電漿產生器水平居中於該腔室主體內且在該腔室主體內豎立延伸,以使得在該腔室主體內仍有足夠的間隔用於在該複數個電漿產生器之相對側上處理之一或多個基板。每一電漿產生器具有一第一端,其鄰近於該腔室主體之一底部;以及一第二端,其鄰近於該腔室主體之一頂部。第二功率源安置於該腔室主體外。第二功率源經共同配置為一交錯圖案,以使得每一第二波導沿該腔室主體之一側面及沿該腔室主體之一頂壁向上延伸至每一電漿產生器之該第一端。
本發明大體而言係關於一種豎立CVD系統,其具有能夠處理多個基板之一處理腔室。儘管將該多個基板安置於該處理腔室內之處理源之相對側上,但未使處理環境彼此隔離。該處理源為一水平居中的豎立電漿產生器,其允許在該電漿產生器之任一側上同時但以彼此獨立之方式處理多個基板。將該系統配置為一雙系統,藉此將各自具有其自己的處理腔室之兩個相同處理線配置為彼此鄰近。多個機器人用以自處理系統裝載且卸載基板。每一機器人可使用該系統內之兩個處理線。
水平居中的豎立電漿產生器為具有豎立於處理腔室內之電漿源之電漿產生器。應理解,由於豎立,所以電漿源自接近或處於腔室底部之第一端延伸至接近或處於腔室頂部之第二端。應理解,由於水平居中,所以電漿源等間隔地介於處理腔室之兩個壁或端之間。
可在購自Applied Materials,Inc.(Santa Clara,California)之改進的AKT Aristo系統中使用豎立CVD腔室來實施本文所論述之實施例。應理解,亦可在其他系統(包括由其他製造商出售之彼等系統)中實施實施例。
第1圖為根據一個實施例之豎立、線性CVD系統100之示意圖。系統100可經定大小以在沈積2,000埃厚度之氮化矽薄膜時處理具有大於約90,000 mm2的表面積之基板,且能夠每小時處理大於90個基板。系統100較佳包括兩個分離處理線114A、114B,其由共用系統控制平臺112耦合在一起以形成雙處理線配置/佈局。共用電源供應器(諸如,交流電源供應器)、共用及/或共用泵送及排氣組件及共用氣體分配盤可用於雙處理線114A、114B。對每小時大於90個基板之系統總量而言,每一處理線114A、114B每小時可處理大於45個基板。亦預期,可使用單個處理線或大於兩個處理線來配置系統。
用於豎立基板處理之雙處理線114A、114B存在若干益處。因為腔室經豎立地配置,所以系統100之佔地面積大約與單個、習知水平處理線相同。因此,在大致相同的佔地面積內,存在兩個處理線114A、114B,此舉有益於製造商在晶圓廠中節省佔用面積。為幫助理解術語「豎立」之意義,考慮平板顯示器。諸如電腦監視器之平板顯示器具有長度、寬度及厚度。當平板顯示器為豎立時,長度或寬度自地平面垂直延伸而厚度平行於地平面。相反地,當平板顯示器為水平時,長度與寬度皆平行於地平面而厚度垂直於地平面。對大面積的基板而言,基板之長度及寬度比基板之厚度大許多倍。
每一處理線114A、114B包括基板堆疊模組102A、102B,自基板堆疊模組102A、102B取得新的基板(亦即,尚未在系統100內處理之基板)且儲存經處理基板。大氣機器人104A、104B自基板堆疊模組102A、102B取得基板且將基板置放於雙基板裝載站106A、106B中。應理解,儘管將基板堆疊模組102A、102B圖示為具有沿水平定向堆疊之基板,但安置於基板堆疊模組102A、102B中之基板可類似於基板在雙基板裝載站106A、106B中所固持的方式沿豎立定向維持。隨後,將新的基板移入雙基板負載鎖定室108A、108B中,且隨後移動至雙基板處理腔室1010A、1010B。隨後,目前處理之基板穿過雙基板負載鎖定室108A、108B中之一者而返回至雙基板裝載站106A、106B中之一者,其中該基板由大氣機器人104A、104B中之一者取得且返回至基板堆疊模組102A、102B中之一者。
第2圖為第1圖之實施例之平面圖。將同時就兩個處理線114A、114B來論述順序,即便基板僅沿一個路徑下降。每一機器人104A、104B可沿共用軌道202移動。如將在下文所論述,每一機器人104A、104B可使用兩個基板裝載站106A、106B。有時候,用以經由處理線114A、114B輸送基板之基板載體將需要維護以便修理、清潔或替換。因此,基板載體維護站204A、204B沿與負載鎖定室108A、108B相對之處理線114A、114B耦合至處理腔室110A、110B。
為抽空負載鎖定室108A、108B以及處理腔室110A、110B,一或多個真空泵206可耦合至負載鎖定室108A、108B及處理腔室110A、110B。為抽空負載鎖定室108A、108B,真空泵206自耦合至兩個負載鎖定室108A、108B之抽空線210抽出真空。為抽空處理腔室110A、110B,抽空線212、214、216、218、220、222、224、226耦合至處理腔室110A、110B。將在下文參閱第7圖進一步論述負載鎖定室108A、108B及處理腔室110A、110B之抽空。
第3圖為系統100之側視圖。在操作期間,處理腔室110A、110B之溫度可升高,且因此經受熱膨脹。類似地,具有高溫之基板可自處理腔室110A、110B進入負載鎖定室108A、108B,此舉可使負載鎖定室108A、108B經受熱膨脹。為補償負載鎖定室108A、108B之熱膨脹,負載鎖定室108A、108B可具有端302,端302鄰近於處理腔室110A、110B固定但允許負載鎖定室108A、108B之剩餘物以及鄰近基板裝載站106A、106B在由箭頭「A」所示之方向上移動。類似地,處理腔室110A、110B可具有端304,端304鄰近於負載鎖定室108A、108B固定,而處理腔室110A、110B之另一端以及基板載體維護站204A、204B可由於熱膨脹在由箭頭「B」所示之方向上移動。當處理腔室110A、110B因熱膨脹而膨脹時,基板載體維護站204A、204B亦移動以允許處理腔室110A、110B膨脹。若當處理腔室110A、110B膨脹時基板載體維護站204A、204B未移動,則在炎熱的夏日處理線114A、114B可非常類似鐵路軌道而彎曲。類似地,當負載鎖定室108A、108B膨脹時,基板裝載站106A、106B亦移動以允許負載鎖定室108A、108B膨脹。
第4圖為圖示允許處理腔室110B因熱膨脹而移動之裝備之處理腔室110B的近視圖。應理解,儘管就處理腔室110B進行描述,但該描述將同樣地適用於負載鎖定室108B。將處理腔室110B安置於框架402上。處理腔室110B之端304具有固定點404及可沿安置於框架402上之一塊低摩擦材料408移動之底座部分406。可用於低摩擦材料408之合適材料包括聚四氟乙烯。應理解,亦涵蓋其他低摩擦材料。應理解,兩個基板載體維護站204A、204B以及基板裝載站106A、106B將具有安置於框架上之底座部分,該框架具有低摩擦材料以允許基板載體維護站204A、204B以及基板裝載站106A、106B移動。
第5圖為圖示抽空系統之處理系統100之後視圖。第6A圖及第6B圖為圖示用於將真空系統連接至其之抽空位置之處理腔室110B之俯視圖及部分側視圖。抽空線212、214、216、218、220、222、224、226各自具有隨後耦合至分離器導管504A-504D之豎立導管502A-502D。每一分離器導管504A-504D具有耦合至處理腔室110A、110B之兩個連接點506A-506H。因此,對每一處理腔室110A、110B之每一側面而言存在四個連接點。
第6A圖圖示用於處理腔室110B之連接點602A-602D。處理腔室110B圖示為具有兩個基板載體604A、604B,在其上各自具有基板606A、606B。電漿產生器608與氣體引入導管610一樣位於中心。電漿產生器608為針對CVD在處理腔室110A、110B內產生電漿之微波源。功率源614為電漿產生器608供電。如第6B圖中所示,連接點602A、602I安置於腔室蓋612之轉角附近。因為連接點602A-602D安置於處理腔室110B之轉角附近,所以可在腔室110B之所有區域中大體上均勻地抽空處理腔室110B。若僅使用一個抽空點,則與更遠的位置相比在抽空點附近可存在更多真空。預期,可能存在其他抽空連接,包括額外連接。
第7圖為根據一個實施例之抽空系統700之圖解說明。每一處理腔室110A、110B可具有若干個真空泵702A-702H,而非單個真空泵。每一豎立線502A-502H在耦合至連接點602A-602P之前分成分離器導管504A-504H。節流閥704可定位於連接點602A-602P與分離器導管504A-504H之間以控制各別處理腔室110A、110B之真空度。應理解,抽空系統700適用於具有較少真空泵之系統。若耦合至處理腔室之真空泵中之一者不工作,則耦合至處理腔室之其他真空泵可能補償不工作的泵,以便處理腔室可維持預定的真空度。
負載鎖定室108A、108B可由耦合至負載鎖定室108A、108B之連接點708A、708B的共用真空泵706抽空。雙向閥710可存在於真空泵706與連接點708A、708B之間以控制負載鎖定室108A、108B之真空度。
第8圖為與處理腔室110B間隔之腔室蓋612之側面透視圖。為維護處理腔室110B,蓋612可如箭頭「C」所示藉由在點802A、802B處使豎立導管502A、502E與抽空線224、226分離而加以移動。因此,在不必拆卸整個抽空系統700或移動大量、重型系統元件之情況下,可移動蓋612。可藉由使用諸如起重機或液壓升降機之移動裝置使蓋612滑動離開處理腔室110B來移動蓋612。
第9圖圖示機器人104A、104B自基板堆疊模組102A、102B移除基板906且將基板906置放於基板裝載站環境902A-902D中之順序。基板裝載站106A、106B圖示為具有兩個分離環境902A-902D。在每一環境中,基板載體904面向不同的方向。因此,當基板906安置於基板裝載站環境902A-902D內時,基板906由每一分離基板裝載站106A、106B內之載體904間隔開。
機器人104A自基板堆疊模組102A取得基板906且沿軌道202移動以將基板906置放於環境902B或環境902D中。當機器人104A將基板906置放於環境902B、902D中時,基板906經置放於載體904上,以使得基板906面向離開載體904之箭頭「E」之方向。類似地,機器人104B自基板堆疊模組102B取得基板906且沿軌道202移動以將基板906置放於環境902A或環境902C中。當機器人104B將基板906置放於環境902A、902C中時,基板906經置放於載體904上,以使得基板906面向離開載體904之箭頭「D」之方向。因此,兩個機器人104A、104B皆可使用相同的基板裝載站106A、106B且沿相同的軌道202移動。然而,每一機器人104A、104B使用基板裝載站106A、106B之分離環境902A-902D且僅可將基板906置放於面向特定方向之個別載體904上。
第10A圖至第10C圖為根據一個實施例之雙處理腔室110A、110B之示意圖。雙處理腔室110A、110B包括以線性配置安置於每一處理腔室110A、110B之中心的複數個微波天線1010。天線1010自處理腔室之頂部豎立延伸至處理腔室之底部。每一微波天線1010在耦合至微波天線1010之處理腔室之頂部與底部皆具有相應的微波功率頭1012。如第10B圖中所示,微波功率頭1012為交錯的。交錯可歸因於間隔限制。可經由每一功率頭1012將功率獨立地施加於天線1010之每一端。微波天線1010可在300MHz至300GHz之範圍內的頻率下操作。
處理腔室之每一者經配置以能夠處理兩個基板,一個基板位於微波天線1010之每一側上。基板藉由平臺1008及遮蔽框架1004固持於處理腔室中之適當位置。氣體引入管1014安置於鄰近微波天線1010之間。氣體引入管1014自平行於微波天線1010之處理腔室之底部豎立延伸至頂部。氣體引入管1014允許引入諸如矽前驅物及氮前驅物之處理氣體。儘管處理腔室110A、110B未圖示於第10A圖至第10C圖中,但可經由位於基板載體1008之後的泵送口來抽空。
第11A圖及第11B圖為根據另一實施例之處理腔室1100之示意圖。處理腔室1100包括諸如微波天線之複數個電漿產生器,其在腔室主體內自第一端1108豎立延伸至第二端1118。處理腔室1100包括遮蔽框架1104,其位於電漿產生器1102之每一側上以用於處理基板。如第11B圖中所示,遮蔽框架1104安置於複數個電漿產生器1102之相對側上,以便兩個大面積的基板可在單個處理腔室1100內得以處理,且因此同時或連續地暴露於相同的處理環境。
每一電漿產生器1102在其第一端1108處耦合至第一波導1110,且在其第二端1118處耦合至第二波導1116。每一第一波導1110耦合至第一功率源1112,而每一第二波導耦合至第二功率源1114。功率源1112、1114可耦合至外殼1106內之波導1110、1116。如最佳在第11B圖中可見,外殼1106為交錯的「T」形外殼。由於間隔限制,交錯的「T」形外殼可為必需的。在此配置中,鄰近波導1110、1116以相反、平行方向自端1108、1118延伸至各別功率源1112、1114。氣體引入管亦可以上文關於第10C圖所論述之方式安置於處理腔室1100內。
第12A圖及第12B圖為根據另一實施例之處理腔室1200之示意圖。處理腔室1200包括諸如微波天線之複數個電漿產生器,其在腔室主體內自第一端1208豎立延伸至第二端1218。處理腔室1200包括遮蔽框架1204,其位於電漿產生器1202之每一側上以用於處理基板。如第12B圖中所示,遮蔽框架1204安置於複數個電漿產生器1202之相對側上,以便兩個大面積的基板可在單個處理腔室1200內得以處理,且因此同時或連續地暴露於相同的處理環境。
每一電漿產生器1202在其第一端1208處耦合至第一波導1210,且在其第二端1218處耦合至第二波導1216。每一第一波導1210耦合至第一功率源1212,而每一第二波導耦合至第二功率源1214。功率源1212、1214可耦合至外殼1206內之波導1210、1216。如最佳在第12B圖中可見,外殼1206皆自處理腔室1200之相同側延伸。在此配置中,鄰近波導1210、1216以相同、平行方向自端1208、1218延伸至各別功率源1212、1214。氣體引入管亦可以上文關於第10C圖所論述之方式安置於處理腔室1200內。
第13A圖及第13B圖為根據另一實施例之處理腔室1300之示意圖。處理腔室1300包括諸如微波天線之複數個電漿產生器,其在腔室主體內自第一端1308豎立延伸至第二端1318。處理腔室1300包括遮蔽框架1304,其位於電漿產生器1302之每一側上以用於處理基板。如第13B圖中所示,遮蔽框架1304安置於複數個電漿產生器1302之相對側上,以便兩個大面積的基板可在單個處理腔室1300內得以處理,且因此同時或連續地暴露於相同的處理環境。
每一電漿產生器1302在其第一端1308處耦合至第一傾斜波導1310,且在其第二端1318處耦合至第二傾斜波導1316。每一第一傾斜波導1310耦合至第一功率源1312,而每一第二傾斜波導耦合至第二功率源1314。外殼1306藉由已自腔室側面加以移除而圖示於腔室1300之頂部及底部上,以便清楚地觀察波導1310、1316。如最佳在第13B圖中可見,波導1310、1316沿處理腔室1300之頂部延伸,且沿處理腔室1300之側面向下延伸至各別功率源1312、1314。歸因於功率源1312、1314相對於電漿產生器1302之第一端1308及第二端1318的位置,波導1310、1316為傾斜的。氣體引入管亦可以上文關於第10C圖所論述之方式安置於處理腔室1300內。
藉由使用豎立CVD系統,可同時處理多個基板。同時處理多個基板降低了製造成本,從而可增加製造商的利潤。
儘管上述內容係針對本發明之實施例,但在不脫離本發明之基本範疇之情況下,可設計本發明之其他及另外實施例,且本發明之範疇係由以下申請專利範圍來決定。
100...系統
102A...基板堆疊模組
102B...基板堆疊模組
104A...機器人
104B...機器人
106A...基板裝載站
106B...基板裝載站
108A...負載鎖定室
108B...負載鎖定室
110A...處理腔室
110B...處理腔室
112...系統控制平臺
114A...處理線
114B...處理線
202...軌道
204A...基板載體維護站
204B...基板載體維護站
206...真空泵
210...抽空線
212...抽空線
214...抽空線
216...抽空線
218...抽空線
220...抽空線
222...抽空線
224...抽空線
226...抽空線
302...端
304...端
402...框架
404...固定點
406...底座部分
408...低摩擦材料
502A...豎立導管
502B...豎立導管
502C...豎立導管
502D...豎立導管
502E...豎立導管
502F...豎立導管
502G...豎立導管
502H...豎立導管
504A...分離器導管
504B...分離器導管
504C...分離器導管
504D...分離器導管
504E...分離器導管
504F...分離器導管
504G...分離器導管
504H...分離器導管
506A...連接點
506B...連接點
506C...連接點
506D...連接點
506E...連接點
506F...連接點
506G...連接點
506H...連接點
602A...連接點
602B...連接點
602C...連接點
602D...連接點
602E...連接點
602F...連接點
602G...連接點
602H...連接點
602I...連接點
602J...連接點
602K...連接點
602L...連接點
602M...連接點
602N...連接點
602O...連接點
602P...連接點
604A...基板載體
604B...基板載體
606A...基板
606B...基板
608...電漿產生器
610...氣體引入導管
612...腔室蓋
614...功率源
700...抽空系統
702A...真空泵
702B...真空泵
702C...真空泵
702D...真空泵
702E...真空泵
702F...真空泵
702G...真空泵
702H...真空泵
704...節流閥
706...真空泵
708A...連接點
708B...連接點
710...雙向閥
802A...點
802B...點
902A...環境
902B...環境
902C...環境
902D...環境
904...載體
906...基板
1004...遮蔽框架
1008...平臺
1010...微波天線
1010A...處理腔室
1010B...處理腔室
1012...微波功率頭
1014...氣體引入管
1100...處理腔室
1102...電漿產生器
1104...遮蔽框架
1106...外殼
1108...第一端
1110...第一波導
1112...第一功率源
1114...第二功率源
1116...第二波導
1118...第二端
1200...處理腔室
1202...電漿產生器
1204...遮蔽框架
1206...外殼
1208...第一端
1210...第一波導
1212...第一功率源
1214...第二功率源
1216...第二波導
1218...第二端
1300...處理腔室
1302...電漿產生器
1304...遮蔽框架
1306...外殼
1308...第一端
1310...第一傾斜波導
1312...第一功率源
1314...第二功率源
1316...第二傾斜波導
1318...第二端
A...箭頭
B...箭頭
C...箭頭
D...箭頭
E...箭頭
因此,可詳細理解本發明之上述特徵結構之方式,即上文簡要概述之本發明之更特定描述可參照實施例進行,其中一些實施例圖示於附加圖式中。然而,應注意,附加圖式僅圖示本發明之典型實施例,且因此不欲視為其範疇之限制,因為本發明可允許其他同等有效之實施例。
第1圖為根據一個實施例之處理系統之示意圖。
第2圖為第1圖之處理系統之示意俯視圖。
第3圖為第1圖之處理系統之示意側視圖。
第4圖為第1圖之處理腔室之近視圖。
第5圖為第1圖之處理系統之示意後視圖。
第6A圖為第1圖之處理腔室之示意橫截面圖。
第6B圖為第1圖之處理腔室之部分側視圖。
第7圖為用於第1圖之處理系統之抽空系統的圖解說明。
第8圖為第1圖之處理腔室之等角視圖。
第9圖為用於第1圖之處理系統之基板定序的俯視圖解說明。
第10A圖至第10C圖為第1圖之處理腔室之示意圖。
第11A圖及第11B圖為根據另一實施例之處理腔室之示意圖。
第12A圖及第12B圖為根據另一實施例之處理腔室之示意圖。
第13A圖及第13B圖為根據另一實施例之處理腔室之示意圖。
為促進理解,在可能情況下已使用相同元件符號來指定為圖式所共用的相同元件。預期可將一個實施例之元件及特徵結構有益地併入未進一步敍述之其他實施例中。
1100...處理腔室
1102...電漿產生器
1104...遮蔽框架
1106...外殼
1108...第一端
1110...第一波導

Claims (20)

  1. 一種豎立直線CVD系統之設備,其包含:一腔室主體,其包括一或多個可移除的蓋,每一蓋形成該腔室主體的一個別的側壁,且每一蓋包括複數個開口以供利用一或多個抽空線來抽空該腔室主體;複數個電漿產生器,其水平居中於該腔室主體內且在該腔室主體內豎立延伸,以使得在該腔室主體內仍有足夠的間隔用於在該複數個電漿產生器之相對側上處理之一或多個基板,每一電漿產生器具有鄰近於該腔室主體之一底部的一第一端與鄰近於該腔室主體之一頂部的一第二端;一第一波導,其耦合至每一電漿產生器之該第一端;一第二波導,其耦合至每一電漿產生器之該第二端;一第一功率源,其耦合至每一第一波導,該第一功率源安置於該腔室主體外;以及一第二功率源,其耦合至每一第二波導,該第二功率源安置於該腔室主體外,該等第二功率源經共同配置為一圖案,以使得鄰近第二波導沿一共同方向自該等電漿產生器延伸至相應的第二功率源;以及一或多個豎立導管,其耦合至該複數個開口,並經配置以當在移除該一或多個可移除的蓋時從該一或多個抽空線分離。
  2. 如請求項1所述之設備,其中該複數個電漿產生器為微波產生器。
  3. 如請求項2所述之設備,其進一步包含複數個氣體引入管,其安置於該腔室主體內且鄰近於該複數個微波產生器。
  4. 如請求項3所述之設備,其中該一或多個蓋可移除以使用位於該腔室主體之內的該複數個微波產生器。
  5. 如請求項4所述之設備,其進一步包含一或多個真空泵,其與該腔室主體耦合,以使得該腔室主體可經由延伸穿過每一蓋之該複數個開口得以抽空。
  6. 如請求項5所述之設備,其中該腔室主體安置於一框架上,且其中該腔室主體具有固定至該框架之一第一端。
  7. 如請求項6所述之設備,其進一步包含一聚四氟乙烯元件,其安置於該框架上,且其中該腔室主體具有一第二端,其安置於該聚四氟乙烯元件上且可沿該聚四氟乙烯元件移動。
  8. 如請求項1所述之設備,其中該腔室主體更包括一通口以輸送一基板進入該腔室主體,其中該通口係位於該腔 室主體的一側壁上,該側壁不同於包括該一或多個可移除的蓋的其中一者的一側壁。
  9. 一種豎立直線CVD系統之設備,其包含:一腔室主體,其包括一或多個可移除的蓋,每一蓋形成該腔室主體的一個別的側壁,且每一蓋包括複數個開口以供利用一或多個抽空線來抽空該腔室主體;複數個電漿產生器,其水平居中於該腔室主體內且在該腔室主體內豎立延伸,以使得在該腔室主體內仍有足夠的間隔用於在該複數個電漿產生器之相對側上處理之一或多個基板,每一電漿產生器具有鄰近於該腔室主體之一底部的一第一端與鄰近於該腔室主體之一頂部的一第二端;複數個氣體引入管,其設置於該腔室主體內,且在大致平行於該一或多個基板的一縱向表面的一方向上延伸;一第一波導,其耦合至每一電漿產生器之該第一端;一第二波導,其耦合至每一電漿產生器之該第二端;一第一功率源,其耦合至每一第一波導,該第一功率源安置於該腔室主體外;以及一或多個豎立導管,其耦合至該複數個開口,並經配置以當在移除該一或多個可移除的蓋時從該一或多個抽空線分離。
  10. 如請求項9所述之設備,其中該複數個氣體引入管垂直地延伸於該腔室主體內。
  11. 如請求項9所述之設備,其中該複數個氣體引入管鄰近於該複數個電漿產生器。
  12. 如請求項9所述之設備,其中每個氣體引入管的一水平位置係位於該等電漿產生器與該腔室主體內所剩餘的用來處理該一或多個基板的其中一者的間隔之間。
  13. 如請求項12所述之設備,其中該複數個氣體引入管係安置於該複數個電漿產生器的該等相對側上。
  14. 如請求項13所述之設備,其中該等相對側的每一者對應於一個別基板的一縱向表面,且其中在該等相對側的每一者上的氣體引入管係沿著該個別基板的該縱向表面的一方向而平均地間隔開。
  15. 一種豎立直線CVD系統之設備,其包含:一腔室主體,其包括一或多個可移除的蓋,每一蓋形成該腔室主體的一個別的側壁,且每一蓋包括複數個開口以供利用一或多個抽空線來抽空該腔室主體;複數個電漿產生器,其水平居中於該腔室主體內且在該腔室主體內豎立延伸,以使得在該腔室主體內仍有 足夠的間隔用於在該複數個電漿產生器之水平相對側的每一側上處理之一或多個基板,每一電漿產生器具有鄰近於該腔室主體之一底部的一第一端與鄰近於該腔室主體之一頂部的一第二端;複數個氣體引入管設置於該腔室主體內,且經配置以維護該等水平相對側的每一者;一第一波導,其耦合至每一電漿產生器之該第一端;一第二波導,其耦合至每一電漿產生器之該第二端;一第一功率源,其耦合至每一第一波導,該第一功率源安置於該腔室主體外;一第二功率源,其耦合至每一第二波導,該第二功率源安置於該腔室主體外,該等第二功率源經共同配置為一圖案,以使得鄰近第二波導沿相同方向自該等電漿產生器延伸至相應的第二功率源;以及一或多個豎立導管,其耦合至該複數個開口,並經配置以當在移除該一或多個可移除的蓋時從該一或多個抽空線分離。
  16. 如請求項15所述之設備,其中該複數個氣體引入管在大致平行於該一或多個基板的一縱向表面的一方向上延伸。
  17. 如請求項16所述之設備,其中該複數個氣體引入管垂直地延伸於該腔室主體內。
  18. 如請求項15所述之設備,其中該複數個氣體引入管鄰近於該複數個電漿產生器。
  19. 如請求項15所述之設備,其中每個氣體引入管的一水平位置係位於該等電漿產生器與該腔室主體內所剩餘的用來處理該一或多個基板的其中一者的間隔之間。
  20. 如請求項19所述之設備,其中該等水平相對側的每一者對應於一個別基板的一縱向表面,且其中在該等水平相對側的每一者上的氣體引入管係沿著該個別基板的該縱向表面的一方向而平均地間隔開。
TW100115152A 2010-04-30 2011-04-29 豎立直線cvd系統 TWI551718B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US33029610P 2010-04-30 2010-04-30
US35423010P 2010-06-13 2010-06-13
US41653210P 2010-11-23 2010-11-23

Publications (2)

Publication Number Publication Date
TW201204865A TW201204865A (en) 2012-02-01
TWI551718B true TWI551718B (zh) 2016-10-01

Family

ID=44862144

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100115152A TWI551718B (zh) 2010-04-30 2011-04-29 豎立直線cvd系統
TW100115151A TWI544107B (zh) 2010-04-30 2011-04-29 用於處理基板的設備及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100115151A TWI544107B (zh) 2010-04-30 2011-04-29 用於處理基板的設備及方法

Country Status (6)

Country Link
US (2) US9922854B2 (zh)
JP (2) JP5903429B2 (zh)
KR (2) KR101796656B1 (zh)
CN (2) CN102859655A (zh)
TW (2) TWI551718B (zh)
WO (2) WO2011137373A2 (zh)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101796656B1 (ko) * 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9644268B2 (en) * 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104080947B (zh) 2012-01-27 2016-08-24 应用材料公司 分段式天线组件
US20130206068A1 (en) * 2012-02-13 2013-08-15 Jozef Kudela Linear pecvd apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI582028B (zh) * 2014-01-03 2017-05-11 緯創資通股份有限公司 導引組裝料件系統及其導引料件台
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106575720B (zh) * 2014-05-09 2019-01-15 应用材料公司 具有保护覆盖物的基板载体系统
CN106165141B (zh) * 2014-05-09 2019-01-15 应用材料公司 基板载体系统及使用它的方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN104195629A (zh) * 2014-08-20 2014-12-10 中国科学院半导体研究所 塔式多片外延生长装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US10039219B1 (en) 2015-09-28 2018-07-31 Western Digital Technologies, Inc. Method and devices for picking and placing workpieces into devices under manufacture using dual robots
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI588929B (zh) * 2016-08-01 2017-06-21 矽品精密工業股份有限公司 承載設備及其操作方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
JP2019526701A (ja) * 2017-07-24 2019-09-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空チャンバ内で基板を処理するための装置及びシステム、並びに真空チャンバ内でキャリアを搬送する方法
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108048818A (zh) * 2017-12-18 2018-05-18 德淮半导体有限公司 化学气相沉积装置及其使用方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11145517B2 (en) * 2018-10-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gas curtain for semiconductor manufacturing system
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11694913B2 (en) * 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
EP3722458B1 (en) * 2019-02-28 2022-01-19 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming device
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11189516B2 (en) 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
WO2020242611A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. System and method for aligning a mask with a substrate
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN110331378B (zh) * 2019-07-18 2024-01-19 中国科学院金属研究所 金刚石薄膜连续制备使用的hfcvd设备及其镀膜方法
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
US11756816B2 (en) 2019-07-26 2023-09-12 Applied Materials, Inc. Carrier FOUP and a method of placing a carrier
US11196360B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. System and method for electrostatically chucking a substrate to a carrier
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
KR20220129599A (ko) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
EP4094307A4 (en) * 2020-01-22 2024-02-28 Applied Materials, Inc. ONLINE MONITORING OF OLED LAYER THICKNESS AND DOPANT CONCENTRATION
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4423701A (en) * 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US6487986B1 (en) * 1998-09-12 2002-12-03 Unaxis Deutschland Holding Gmbh Device for the plasma deposition of a polycrystalline diamond
US20040011465A1 (en) * 2002-07-16 2004-01-22 Tokyo Electron Limited Plasma Processing apparatus
US7267319B2 (en) * 2004-11-09 2007-09-11 General Electric Company Low-friction slide-plates for rotary machines
TW200835892A (en) * 2006-11-21 2008-09-01 Applied Materials Inc Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4274936A (en) * 1979-04-30 1981-06-23 Advanced Coating Technology, Inc. Vacuum deposition system and method
US4410558A (en) * 1980-05-19 1983-10-18 Energy Conversion Devices, Inc. Continuous amorphous solar cell production system
US4474659A (en) * 1982-05-28 1984-10-02 Fazal Fazlin Plated-through-hole method
US4576830A (en) * 1984-11-05 1986-03-18 Chronar Corp. Deposition of materials
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
EP0264913B1 (en) 1986-10-20 1994-06-22 Hitachi, Ltd. Plasma processing apparatus
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
DE4029905C2 (de) * 1990-09-21 1993-10-28 Leybold Ag Vorrichtung für den Transport von Substraten
DE69230493T2 (de) * 1991-04-04 2000-05-04 Seagate Technology Verfahren und vorrichtung zum sputtern mit hoher geschwindigkeit
US6124186A (en) * 1992-05-05 2000-09-26 Midwest Research Institute Deposition of device quality, low hydrogen content, hydrogenated amorphous silicon at high deposition rates with increased stability using the hot wire filament technique
US5776819A (en) * 1992-05-05 1998-07-07 Midwest Research Institute Deposition of device quality, low hydrogen content, amorphous silicon films by hot filament technique using "safe" silicon source gas
US5397737A (en) * 1992-05-05 1995-03-14 The United States Of America As Represented By The United States Department Of Energy Deposition of device quality low H content, amorphous silicon films
JP3175333B2 (ja) * 1992-06-15 2001-06-11 日新電機株式会社 基板処理装置
DE69318480T2 (de) * 1992-06-23 1998-09-17 Nippon Telegraph & Telephone Plasmabearbeitungsgerät
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07106094A (ja) 1993-10-04 1995-04-21 Matsushita Electric Ind Co Ltd マイクロ波プラズマ発生装置
JPH07161492A (ja) * 1993-12-06 1995-06-23 Daido Steel Co Ltd マイクロ波プラズマ処理装置
DE4428136A1 (de) * 1994-08-09 1996-02-15 Leybold Ag Vakuum-Beschichtungsanlage
JPH08138889A (ja) * 1994-09-16 1996-05-31 Daihen Corp プラズマ処理装置
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
DE19503205C1 (de) 1995-02-02 1996-07-11 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3754742B2 (ja) * 1996-02-16 2006-03-15 キヤノン株式会社 光起電力素子の作製装置
DE19631407B4 (de) * 1996-08-05 2006-05-04 Unaxis Deutschland Holding Gmbh Vorrichtung zur plasmachemischen Abscheidung von polykristallinem Diamant
DE19722272A1 (de) * 1997-05-28 1998-12-03 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
DE19739894C2 (de) 1997-09-11 2001-07-05 Muegge Electronic Gmbh Plasmabehandlungsvorrichtung
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6251183B1 (en) * 1998-05-21 2001-06-26 Midwest Research Institute Rapid low-temperature epitaxial growth using a hot-element assisted chemical vapor deposition process
DE19824077A1 (de) * 1998-05-29 1999-12-02 Leybold Systems Gmbh Vorrichtung zur Erzeugung von Plasma
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
EP0995812A1 (en) * 1998-10-13 2000-04-26 Vacumetal B.V. Apparatus for flow-line treatment of articles in an artificial medium
AU3076100A (en) * 1999-01-22 2000-08-07 Toyo Kohan Co. Ltd. Microwave plasma cvd apparatus
US6186090B1 (en) * 1999-03-04 2001-02-13 Energy Conversion Devices, Inc. Apparatus for the simultaneous deposition by physical vapor deposition and chemical vapor deposition and method therefor
JP4017796B2 (ja) * 1999-10-26 2007-12-05 株式会社アルバック プラズマ処理装置
JP4448586B2 (ja) * 2000-01-05 2010-04-14 株式会社アルバック 大口径カーボンナノチューブ薄膜形成プラズマcvd装置及び該薄膜の形成方法
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
EP1293588B1 (en) * 2000-05-17 2009-12-16 IHI Corporation Plasma cvd apparatus and method
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
DE10114115A1 (de) 2001-03-22 2002-10-02 Muegge Electronic Gmbh Anordnung zur Erzeugung von Plasma in einem Behandlungsraum
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
JP4564213B2 (ja) 2001-09-14 2010-10-20 三井造船株式会社 プラズマ生成用アンテナ及びcvd装置
JP4020679B2 (ja) * 2002-04-09 2007-12-12 シャープ株式会社 プラズマプロセス装置
JP4120546B2 (ja) * 2002-10-04 2008-07-16 株式会社Ihi 薄膜形成方法及び装置並びに太陽電池の製造方法及び装置並びに太陽電池
TWI262165B (en) 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
JP4087233B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
JP4417734B2 (ja) * 2004-01-20 2010-02-17 株式会社アルバック インライン式真空処理装置
DE102004008598B4 (de) * 2004-02-21 2006-12-28 Applied Films Gmbh & Co. Kg Verfahren für den Betrieb einer Inline-Beschichtungsanlage
US20050183665A1 (en) * 2004-02-24 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
JP4471708B2 (ja) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 基板搬送装置
JP2005340425A (ja) * 2004-05-26 2005-12-08 Ulvac Japan Ltd 真空処理装置
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
KR100667866B1 (ko) * 2004-12-22 2007-01-12 한국기계연구원 리튬이차전지 음극재료용 복합분말 및 그 제조방법과 이를이용한 음극재료
US20060177288A1 (en) 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
JP2006278643A (ja) * 2005-03-29 2006-10-12 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置及びプラズマ処理方法
KR100667886B1 (ko) * 2005-07-01 2007-01-11 주식회사 에스에프에이 인라인 스퍼터링 시스템
US20070095281A1 (en) * 2005-11-01 2007-05-03 Stowell Michael W System and method for power function ramping of microwave liner discharge sources
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
KR101225312B1 (ko) * 2005-12-16 2013-01-22 엘지디스플레이 주식회사 프로세스 장치
DE102006048815B4 (de) * 2006-10-16 2016-03-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Leistung
DE102006048814B4 (de) * 2006-10-16 2014-01-16 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur Erzeugung von Mikrowellenplasmen hoher Plasmadichte
US20080127887A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Vertically mounted rotary cathodes in sputtering system on elevated rails
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
US9337069B2 (en) * 2007-02-01 2016-05-10 Willard & Kelsey Solar Group, Llc Method for glass sheet semiconductor coating
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
WO2009009607A1 (en) 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for processing a substrate edge region
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5058084B2 (ja) 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP2009094242A (ja) * 2007-10-05 2009-04-30 Ebatekku:Kk 基板保持機構、基板受渡機構、及び基板処理装置
JP2009105081A (ja) * 2007-10-19 2009-05-14 Ebatekku:Kk 基板処理装置
JP5307383B2 (ja) 2007-11-26 2013-10-02 株式会社アルバック 真空処理装置
CN101896634B (zh) * 2007-12-14 2012-08-29 株式会社爱发科 腔以及成膜装置
US20110076420A1 (en) * 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
WO2009148081A1 (ja) * 2008-06-06 2009-12-10 株式会社アルバック 薄膜太陽電池製造装置
US20110100297A1 (en) * 2008-06-06 2011-05-05 Ulvac, Inc. Thin-film solar cell manufacturing apparatus
JP5657527B2 (ja) * 2008-06-09 2015-01-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板をコーティングするためのコーティングシステム及び方法
US20090324368A1 (en) * 2008-06-27 2009-12-31 Applied Materials, Inc. Processing system and method of operating a processing system
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
TW201130007A (en) * 2009-07-09 2011-09-01 Applied Materials Inc High efficiency low energy microwave ion/electron source
US20110097518A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Vertically integrated processing chamber
JP2011119396A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 薄膜太陽電池製造装置
KR101796656B1 (ko) * 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4423701A (en) * 1982-03-29 1984-01-03 Energy Conversion Devices, Inc. Glow discharge deposition apparatus including a non-horizontally disposed cathode
US6487986B1 (en) * 1998-09-12 2002-12-03 Unaxis Deutschland Holding Gmbh Device for the plasma deposition of a polycrystalline diamond
US20040011465A1 (en) * 2002-07-16 2004-01-22 Tokyo Electron Limited Plasma Processing apparatus
US7267319B2 (en) * 2004-11-09 2007-09-11 General Electric Company Low-friction slide-plates for rotary machines
TW200835892A (en) * 2006-11-21 2008-09-01 Applied Materials Inc Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems

Also Published As

Publication number Publication date
KR101932578B1 (ko) 2018-12-28
US20120031335A1 (en) 2012-02-09
TW201202472A (en) 2012-01-16
WO2011137371A3 (en) 2012-03-01
KR101796656B1 (ko) 2017-11-13
JP2013527609A (ja) 2013-06-27
WO2011137373A4 (en) 2012-03-15
CN102859034A (zh) 2013-01-02
KR20130062942A (ko) 2013-06-13
CN102859655A (zh) 2013-01-02
WO2011137373A3 (en) 2012-02-09
CN102859034B (zh) 2015-04-29
TW201204865A (en) 2012-02-01
JP5903429B2 (ja) 2016-04-13
US20120031333A1 (en) 2012-02-09
US9922854B2 (en) 2018-03-20
US9324597B2 (en) 2016-04-26
WO2011137371A2 (en) 2011-11-03
TWI544107B (zh) 2016-08-01
WO2011137373A2 (en) 2011-11-03
KR20130057441A (ko) 2013-05-31
JP2013526067A (ja) 2013-06-20

Similar Documents

Publication Publication Date Title
TWI551718B (zh) 豎立直線cvd系統
CN106164331B (zh) 具有一体式静电夹盘的基板载体
CN102286730B (zh) 薄膜蒸镀装置
US20090133628A1 (en) Vacuum device for continuous processing of substrates
CN104603928A (zh) 用于薄基板的便携式静电夹盘载体
CN102047388A (zh) 气体分布喷头裙部
CN111354657B (zh) 半导体多站处理腔体
KR20110139079A (ko) 박막 증착 장치
CN105051866A (zh) 用于旋转压板式ald腔室的等离子体源
US10483141B2 (en) Semiconductor process equipment
WO2021126172A1 (en) High density plasma enhanced chemical vapor deposition chamber
CN109312461B (zh) 等离子体处理装置和等离子体处理用反应容器的构造
WO2020040915A1 (en) High density plasma enhanced chemical vapor deposition chamber
KR20130080034A (ko) 성막 장치
KR20140140462A (ko) 원자층 증착 장치
KR102619046B1 (ko) 기판 처리 장치 및 기판 처리 방법
TWI585232B (zh) 線性電漿輔助化學氣相沈積設備
KR100920420B1 (ko) 평판표시소자 제조장치
KR20110016769A (ko) 대량생산 시스템의 줄 가열을 이용한 증착 장치 및 방법
KR100905394B1 (ko) 평판표시소자 제조장치
WO2013107766A1 (en) Vacuum deposition system
KR100940303B1 (ko) 평판표시소자 제조장치
KR100920419B1 (ko) 평판표시소자 제조장치
KR100920383B1 (ko) 평판표시소자 제조장치
JP2010153654A (ja) 真空処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees